Dallas Inventions: patanty 149 no notohanana nandritra ny herinandron'ny 23 Jona »Dallas Innovations

Dallas-Fort Worth dia laharana faha-10 amin'ny hetsika patanty amin'ny faritra metropolitan 250.Ny patanty nomena dia ahitana: • Ny rafitra ao an-dakilasy mifandray amin'ny Accenture Global Services • Ny fitantanana fitaovana mifototra amin'ny sakana amin'ny ATT Mobility • Ny Bank of America dia mampiasa ny fomba blockchain hanatanterahana hetsika • Ny rafitra photovoltaic mitambatra ao an-tampon-trano an'ny orinasa fampiasam-bola amin'ny fanorenana • Tsy misy ilàna azy fitehirizana ny fitahirizana fiaran'ny Capital One Services • Fitaovana Nielsen ampiasaina hamantarana ny fampidinana fitaovana eny ambony sambo
Dallas Invents (Dallas Invents) dia fandalinana isan-kerinandro momba ny patanty amerikana mifandraika amin'ny faritra metropolitan Dallas-Fort Worth-Arlington.Ny lisitra dia ahitana patanty nomena ireo mpanome andraikitra eo an-toerana sy/na mpamorona Texas Avaratra.Ny hetsika patanty dia azo ampiasaina ho famantarana ny fitomboana ara-toekarena ho avy sy ny fivoaran'ny tsena vao misondrotra sy ny fanintonana talenta.Amin'ny alàlan'ny fanaraha-maso ny mpamorona sy ny mpanome tolotra ao amin'ny faritra, mikendry ny hanome fomba fijery midadasika kokoa momba ny hetsika famoronana ao amin'ny faritra izahay.Ny lisitra dia nokarakarain'ny Cooperative Patent Classification (CPC).
Hafainganam-pandeha: Famoahana fampiharana (andro) 175 andro ho an'ny rafitra sy fomba mifototra amin'ny informatika, misy ny fanamarinana biometrika amin'ny fitantanana ny fanavahana, ny fampandehanana sy ny fanafoanana ary/na ny fanatanterahana asa hafa, Patent No. 10691991-B1 Assignee: Capital One Services, LLC (McLean, Virginia) Mpamorona: Michael Bailey (Dallas)
2,853 andro fanaraha-maso ny fitaovana fandrefesana laharan'ny patanty 10690386 nomena: Lennox Industries Inc. (Richardson) Mpamorona: Colin Clara (Addison), Der-Kai Hung (Dallas), Eric Perez (Hickory Creek), Shawn Niemann (Prairie)
Ny fampahalalana momba ny patanty dia nomen'i Joe Chiarella, mpanorina ny Patent Index, orinasa fanadihadiana patanty, ary ny mpamoaka ny Inventiveness Index.Raha mila fanazavana fanampiny momba ny patanty omena etsy ambany, dia tadiavo azafady ny antontan-taratasy feno sy sary feno ny patanty USPTO.
Fomba sy rafitra hanamora ny fitantanana ny angon-drakitra mifandraika amin'ny patanty biby fiompy No. 10687516
Mpamorona: Jacobus Sarel Van Eeden (Dallas) Mpiasa: orinasa mpanao lalàna tsy nomena: Patent Yogi LLC (birao 1 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16/10/166 amin'ny 09/10/2019 (287 andro, mangataha tsoratadidy )
Abstract: Araka ny endrika sasany, ity lahatsoratra ity dia manambara fomba iray hanampiana amin'ny fitantanana ny angona mifandray amin'ny biby fiompy.Noho izany, ny fomba dia mety ahitana ny dingana amin'ny fandraisana angona iray farafahakeliny avy amin'ny fitaovana IoT iray farafahakeliny mifandray amin'ny biby fiompy mampiasa fitaovana fifandraisana.Fanampin'izany, ny fomba dia mety ahitana ny dingana amin'ny fampiasana ny fitaovana fanodinana mba hamoronana mombamomba ny biby fiompy mifanaraka amin'ny biby fiompy mifototra amin'ny angona iray farafahakeliny mifandray amin'ny biby fiompy.Ankoatr'izay, ny fomba dia mety ahitana ny dingana amin'ny fampiasana fitaovana fanodinana hamakafaka ny mombamomba ny biby fiompy mifototra amin'ny fianarana milina.Fanampin'izany, ny fomba dia mety ahitana ny dingana amin'ny fampiasana fitaovana fanodinana mba hamoronana fampianarana iray farafahakeliny mifototra amin'ny fanadihadiana.Fanampin'izany, ny fomba dia mety ahitana ireto dingana manaraka ireto: mampiasa fitaovana fifandraisana handefasana toromarika iray farafahakeliny amin'ny fitaovana iray farafahakeliny.
[A01K] Fiompiana;fikarakarana vorona, trondro, bibikely;jono;fiompiana na fiompiana biby raha tsy misy fepetra manokana;karazana biby vaovao
Famoronana sy fanitsiana ny maodely totozy natao olombelona tsy misy dystrophin exons 44 Patent No. 10687520
Mpamorona: Eric Olson (Dallas), Rhonda Bassel-Duby (Dallas), Yi-Li Min (Dallas) Mpiandraikitra: University of Texas System Board (Austin) Law Firm: Parker Highlander PLLC (1 birao tsy eo an-toerana) Laharana fampiharana, daty , hafainganam-pandeha: 15914728 tamin'ny 03/07/2018 (navoaka ny fangatahana 839 andro)
Famintinana: Ny dystrophie muscular Duchenne (DMD) dia iray amin'ireo aretina fototarazo mahazatra indrindra amin'ny ankizy, izay misy fiantraikany amin'ny zaza 1 amin'ny 5000.Ny aretina dia vokatry ny tsy fahampiana na ny tsy fahampian'ny dystrophine ao amin'ny hozatra striated.Ny famafana DMD lehibe "toerana mafana" dia hita teo anelanelan'ny exon 6 ka hatramin'ny 8 sy ny exon 45 ka hatramin'ny 53. Eto, misy modely totozy "maha-olombelona" nomena izay azo ampiasaina hitsapana paikady DMD exon skipping isan-karazany.Anisan'izany ny oligonucleotides CRISPR / Cas9, molekiola kely na fitsaboana hafa izay mampiroborobo ny fitetezana exon na micro-dystrophin micro-gene na fitsaboana sela.Heverina ihany koa fa amin'ny sela iPS maha-olombelona, ​​​​ny rafitra fanaterana isan-karazany dia hampiasaina amin'ny marary amin'ny alàlan'ny fisintahana exon-mediated CRISPR mba hamerenana amin'ny laoniny ny rafitra famakiana ny famafana exon 44 amin'ny alàlan'ny CRISPR-mediated exon skipping method.Ny fiantraikan'ny teknolojia CRISPR amin'ny DMD dia ny fanovana ny fototarazo dia afaka manitsy ny fiovan'ny toetr'andro.
[A01K] Fiompiana;fikarakarana vorona, trondro, bibikely;jono;fiompiana na fiompiana biby raha tsy misy fepetra manokana;karazana biby vaovao
Mpamorona: Jesse Windrix (Allen) Mpiasa: Biraon'ny lalàna tsy voatendry: Kirby Drake (birao 1 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15729806 amin'ny 10/11/2017 (986 andro havoaka ho an'ny boky fangatahana)
Famintinana: Ny menaka voanio, ny fangaro menaka voanio miaraka amin'ny votoatin'ny MCA avo lenta (toy ny menaka voanio LouAna, ny menaka MCT madio ary ny menaka Omega-3) dia azo atao emulsified mba hamokarana menaka emulsified na fangaro, izay mety ho crème na menaka.Fanoloana crème.Ireo menaka sy/na fangaro ireo dia azo atao emulsifier amin'ny fampiasana emulsifiers, izay azo fidina amin'ny: lecithin sunflower, sodium stearoyl lactylate (SSL) na fitambaran'ny lecithin sunflower sy SSL.Amin'ny alàlan'ny fametahana ireo fangaro menaka/menaka ireo dia azo amboarina ny tsiron-tsakafo tsara na fanoloana crème.Null sanda
Mpamorona: Daniel A. Worrel (Dallas) Mpiasa: SUREMKA, LLC (Dallas) Biraon'ny lalàna: Tsy misy Laharan'ny fangatahana toro-hevitra, Daty, hafainganam-pandeha: 16420841 tamin'ny 05/23/2019 (navoaka nandritra ny 397 andro)
【Abstract】 Ny fitaovana fandidiana dia manana vombony malefaka izay afaka mihetsika ao anatiny sy mivoaka ivelany, ka miangona ireo vombony ireo, ka manome fantsona ho an'ny fandalovan'ny fitaovana fandidiana mankany amin'ny toerana fandidiana, ary manome ny osmotic tsy azo atao. Ny tombo-kase dia manome fitazonana ranon-javatra mandritra ny fandidiana.Ny vombony mitongilana mitongilana ivelany dia afaka manome hery fanerena tavy malefaka, mampihena ny halavan'ny lumen na fantsona mandalo ny fitaovana, ka mamela ny fitaovana hanana hetsika midadasika kokoa sy mora kokoa ny fidirana amin'ny toerana fandidiana, indrindra rehefa misy tavy. lehibe Amin'ireo marary, ny halavan'ny tavy lava kokoa dia ilaina amin'ny voalohany amin'ny cannula endoscopic art teo aloha.
Mpamorona: Michael Hammer (Pinebrook, NJ), Tara Ziolo (Hewitt, NJ) Mpiasa: BLACKSTONE MEDICAL, INC. (Louisville) Biraon'ny lalàna: Haynes sy Boone, LLP (tanàna + 13 hafa) laharana fampiharana, daty, hafainganam-pandeha: 15800011 tamin'ny 31 Oktobra 2017 (fangatahana navoaka nandritra ny 966 andro)
Abstract: Ity lahatsoratra ity dia manambara fihokoana misy lasely mihodina sy visy pedicle uniaxial azo mihodina.Amin'ny endrika iray, ny fihokoana nambara dia mety ahitana vatana iray farafahakeliny, anisan'izany ny mpikambana iray amin'ny hook sy ny lasely.Ny mpikambana hook sy ny mpikambana lasely dia azo amboarina amin'ny fomba mihodinkodina mba hihodinkodina eo amin'ny lafiny iray ihany, ary ny mpikambana lasely dia azo amboarina mba hifandray amin'ny faran'ny mpikambana mampifandray.Ny rafitra visy fandidiana dia mety ahitana resevera sy bolan'ny visy izay mifamatotra ka rehefa miraikitra dia ny fihodinan'ny mpikambana mandray eo amin'ny axe longitudinal an'ny visy ihany no ferana ary ny hetsika fandikan-teny na fihodinana hafa rehetra dia voafetra ihany. .
Mpamorona: Jeffrey D. Hillman (Gainesville, Florida) Mpiandraikitra: ProBiora Health, LLC (Dallas) Lalàna momba ny lalàna: Fish IP Law, LLP (2 birao tsy eo an-toerana) Laharana fampiharana, daty, hafainganam-pandeha: 15946665 amin'ny 04/05/2018 ( fampiharana 810 andro)
Famintinana: Ny famoronana ankehitriny dia manome fitambarana ahitana strana iray na maromaro tsy ampy LDH [i] Streptococcus mutans[/i] ary mitokana [i]S.Fanerena am-bava [/ i] ary/na iray na maromaro mitokana [i] S. Fihenjanan'ny nono[/i].Ny firafitry ny famoronana ankehitriny dia azo ampiasaina hitazomana ny fahasalaman'ny vava amin'ny alalan'ny, ohatra, ny fitsaboana sy/na fisorohana ny soritr'aretin'ny caries nify, periodontitis ary/na aretina na ratra hafa amin'ny vava.
[A61K] Fiomanana ho an'ny tanjona ara-pitsaboana, nify na trano fidiovana (fitaovana na fomba ampiasaina manokana amin'ny fanaovana fanafody ho endrika ara-batana na fitantanana manokana A61J 3/00; tanjona simika na fanafoanana rivotra, famonoana otrikaretina na sterilization Ny fampiasana fitaovana, na ho an'ny fehikibo, akanjo, pads misoroka na fitaovana fandidiana A61L; savony C11D)
Mpamorona: Robert Chudnow (Plano) Mpamorona: ENZYMOTEC LTD.(Migdal Haemeq, IL) Biraon'ny lalàna: Fox Rothschild LLP (birao 12 tsy eo an-toerana) laharana fangatahana, daty, hafainganam-pandeha: 15039741, tamin'ny 5 Desambra 2014 (2027 andro ny daty famoahana)
Famintinana: Fiomanana amin'ny fitsaboana sy/na fisorohana ny epileptika, izay misy fifangaroan'ny serine glycerophospholipid (PS) conjugates avy amin'ny fiaviana tsy mamaly, izay misy ny fangaro (a) asidra eicosapentaenoic mifamatotra amin'ny PS (EPA) sy (b). ) Ny asidra Docosahexaenoic (DHA)) dia mitambatra amin'ny PS ary ampiasaina amin'ny fitsaboana ny fiankinan-doha.
[A61K] Fiomanana ho an'ny tanjona ara-pitsaboana, nify na trano fidiovana (fitaovana na fomba ampiasaina manokana amin'ny fanaovana fanafody ho endrika ara-batana na fitantanana manokana A61J 3/00; tanjona simika na fanafoanana rivotra, famonoana otrikaretina na sterilization Ny fampiasana fitaovana, na ho an'ny fehikibo, akanjo, pads misoroka na fitaovana fandidiana A61L; savony C11D)
Mpamorona: Alan L. Weiner (McKinney) Mpiasa: NICOX SA (Valbonne, FR) Biraon'ny lalàna: Arent Fox LLP (birao tsy an-toerana 5) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16508028, 07/10/2019 (349 andro- navoaka ny fampiharana taloha)
Famintinana: Ny famoronana ankehitriny dia manome vahaolana misy asidra heksanoika, 6-(nitrooxy)-, (1S, 2E)-3-[(1R, 2R, 3S, 5R)-2-[(2Z)) Firafitry ny maso-7. -(ethylamino)-7-oxo-2-hept-1-yl]-3,5-dihydroxycyclopentyl]-1-(2-phenylethyl)- 2-propylène-1-yl ester sy polyethylene glycol 15 hydroxystearate solubilizer sy fomba fanomanana amin'izany.
[A61K] Fiomanana ho an'ny tanjona ara-pitsaboana, nify na trano fidiovana (fitaovana na fomba ampiasaina manokana amin'ny fanaovana fanafody ho endrika ara-batana na fitantanana manokana A61J 3/00; tanjona simika na fanafoanana rivotra, famonoana otrikaretina na sterilization Ny fampiasana fitaovana, na ho an'ny fehikibo, akanjo, pads misoroka na fitaovana fandidiana A61L; savony C11D)
Mpamorona: Sina.com (Arlington), Sun Xiankai (Koper), Hao Yaowu (Farihy Atsimo) Mpiandraikitra: Filankevi-pitantanan'ny University of Texas Systems (Austin): Nexsen Pruet, PLLC (6 Birao tsy eo an-toerana) Laharana fampiharana, daty, ary hafainganam-pandeha: 15718643 tamin'ny 28 septambra 2017 (mila avoaka mandritra ny 999 andro ny fangatahana)
Abstract: Amin'ny lafiny iray, ity lahatsoratra ity dia mamaritra ny nanopartikely radioaktifa.Amin'ny endrika sasany, ny nanopartikely radioaktifa voalaza eto dia ahitana ny fototry ny nanopartikely metaly, ny akorandriaka metaly ivelany napetraka eo ambonin'ny fototry ny nanopartikely metaly, ary ny radioisotope metaly apetraka ao amin'ny fototry ny nanopartikely metaly na ny akora metaly ivelany.Amin'ny toe-javatra sasany, ny radiôaktifa nanopartikel dia manana habe eo amin'ny 30-500 nm amin'ny lafiny telo.Ho fanampin'izany, amin'ny endrika sasany, ny nanoparticle radioaktifa dia ahitana akora metaly anatiny napetraka eo anelanelan'ny fototry ny nanoparticle metaly sy ny akora metaly ivelany.Ny fototry ny nanoparticle metaly, ny akorany ivelany metaly ary ny akorandriaka anatiny ao amin'ny nanoparticle radioaktifa dia mety manana fitambarana metaly isan-karazany.
[A61K] Fiomanana ho an'ny tanjona ara-pitsaboana, nify na trano fidiovana (fitaovana na fomba ampiasaina manokana amin'ny fanaovana fanafody ho endrika ara-batana na fitantanana manokana A61J 3/00; tanjona simika na fanafoanana rivotra, famonoana otrikaretina na sterilization Ny fampiasana fitaovana, na ho an'ny fehikibo, akanjo, pads misoroka na fitaovana fandidiana A61L; savony C11D)
Mpamorona: Xin Heng (McKinney) Mpiasa: Biraon'ny lalàna tsy voatendry: Schlee IP International, PC (birao tsy eo an-toerana) laharana fangatahana, daty, hafainganam-pandeha: 15947703 amin'ny 04/06/2018 (809 andro) Mangataka ny famoahana)
Famintinana: Rafitra sy fomba famokarana aerosol vovoka maina azo sotroina ([b] 15 [/ b]) avy amin'ny vahaolana ranon-javatra na fampiatoana miaraka amin'ny tahan'ny fikorianan'ny aerosol vovoka maina inhalable ([b] 91 [/ b]).Ny nozzle miteraka aerosol ranon-javatra ([b] 3 [/ b]) dia miteraka entona voatoto ([b] 4 [/ b] aerosol ranon-javatra voatsatso avy amin'ny vahaolana misy rano na fampiatoana ranon-javatra ([b] 13 [/ b] ) ]) ary maina ao amin'ny efitra fandoroana cylindrical ([b] 6 [/ b]) mba hamokatra aerosol vovoka maina ([b] 14 [/ b]), izay avy eo mivondrona.Ny rafitra sy ny fomba dia mety ahitana fangaro helium-oksizenina ho toy ny entona, indrindra fa ny entona dilution ([b] 4 [/ b]) mba hanatsarana ny dingana fanamainana ao amin'ny cylindrical evaporation chambre ([b] 6 [/ b]) ary hanatsara. Ny fahombiazan'ny evaporation ny entona.Ny fahombiazan'ny fifantohana dia azo ampiasaina ho entona nozzle ([b] 2 [/ b]) hanatsarana ny famokarana aerosol ranon-javatra avy amin'ny vahaolana na fampiatoana ([b] 13 [/ b]).
[A61M] Fitaovana hampidirana ny haino aman-jery ao anatin'ny vatana na ao anaty vatana (fampidirana ny haino aman-jery amin'ny vatan'ny biby na vatana A61D 7/00; fitaovana hampidirana tampon A61F 13/26; fitaovana ho an'ny sakafo am-bava na fanafody A61J; ho an'ny fanangonana A61J 1/05);fitaovana ampiasaina amin'ny famindrana ny haino aman-jery amin'ny vatana na ny fahazoana fitaovana avy amin'ny vatana (A61B fandidiana; lafiny simika amin'ny fitaovana fandidiana A61L; singa andriamby napetraka ao amin'ny vatana ho an'ny fitsaboana magnetika A61N 2/10);ary fitaovana mamokatra na mamarana ny torimaso na ny torimaso[5]
Mpamorona: David Anthony Norman (Greenville), Douglas Michael Galletti (Allen), Robert H. Mimlitch, III (Rowlett) nomena: INNOVATION FIRST, INC. : 16352969 tamin'ny 14 martsa 2019 (46​​7 andro ny fangatahana tsy maintsy avoaka)
Fehiny: Fitaovana ahitana: trano fonenana;moto rotary hita ao amin'ny trano;enta-mavesatry ny mihodinkodina amin'ny maotera rotary;ary maro ny tongotra, ny tongotra tsirairay dia manana foto-tongony sy ny tendron'ny ranjo mifandray amin'ny faran'ny lavitra ny tongotra.fototra.Ny tongotra dia ampiarahina amin'ny trano eo amin'ny fototry ny tongotra, ary ahitana tongotra iray, fara fahakeliny, vita amin'ny akora malefaka ary namboarina mba hahatonga ilay fitaovana handehandeha izay voafaritra amin'ny ankapobeny amin'ny alàlan'ny fifandimbiasana eo amin'ny fototry ny tongotra sy ny tendron'ny tongotra. Eo amin'ny làlan'ny hetsika.Ny maotera dia manodina ny enta-mavesatry ny eccentric.
Famolavolana vahaolana proteinina miorina misy fifantohana avo amin'ny anti-VEGF antibody Patent No. 10689438
Mpamorona: Alok Kulshreshtha (Vine), Charles Boring (Fort Worth), Zhang Huixiang (Fort Worth), Laman Alani (Fort Worth), Li Wan (Fort Worth), Zeng Yuhong (Fort Worth) Mpangataka: Novartis AG (Basel, CH ) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 14934666 tamin'ny 6 Novambra 2015 (1691 andro ny daty famoahana)
Abstract: Ny famoronana ankehitriny dia manome antibody manohitra ny VEGF novolavolaina ho toy ny firafitry ny pharmaceutique misy rano mivondrona avo, mety amin'ny tsindrona, indrindra ny tsindrona intravitreal.Ny firafitry ny fanafody misy rano dia azo ampiasaina hanaterana akora mavitrika antibody be dia be ho an'ny marary tsy misy fivondronan'ny antibody avo lenta ary tsy misy potika tsy hita maso.Ny firafitry ny rano amin'ny famoronana ankehitriny dia misy antibody amin'ny fifantohana farafahakeliny 50 mg/ml.Ny firafitry ny pharmaceutique misy rano amin'ity famoronana ity dia misy siramamy, buffer ary surfactant.
[A61K] Fiomanana ho an'ny tanjona ara-pitsaboana, nify na trano fidiovana (fitaovana na fomba ampiasaina manokana amin'ny fanaovana fanafody ho endrika ara-batana na fitantanana manokana A61J 3/00; tanjona simika na fanafoanana rivotra, famonoana otrikaretina na sterilization Ny fampiasana fitaovana, na ho an'ny fehikibo, akanjo, pads misoroka na fitaovana fandidiana A61L; savony C11D)
Mpamorona: Justin A. France (Frisco) Mpiasa: Quaker Oats (Chicago, Illinois) Biraon'ny lalàna: Barnes Thornburg LLP (toerana + 12 metropolises hafa) laharana fampiharana, Daty, hafainganam-pandeha: 15077758, Martsa/22/2016 (1554 andro fampiharana navoaka)
Famintinana: Fomba iray sy fitambarana misy hydrolyzed hydroéthylamidon.Amin'ny lafiny voalohany, ny fomba dia ahitana dingana maromaro.Ny dingana voalohany dia ny fampifangaroana farafaharatsiny ampahany amin'ny pulse miaraka amin'ny anzima sahaza mba hamorona fifangaroan'ny pulse anzima.Ny fangaro manomboka amin'ny enzyme pulse dia misy hydroéthylamidon.Ny dingana faharoa dia ahitana ny fanafanana ny fiposahan'ny enzyme manomboka fangaro ho eo anelanelan'ny 48,89°C sy 93,33°C eo ho eo mba hanombohana hydrolyzing hydrolyzing, ka manome fifangaroan'ny pulse mafana.Ny dingana fahatelo dia ny extruding ny fangaro tsaramaso nafanaina hanohizana ny hydrolyze ny hydrolyze, ary ny fanaovana gelatinize sy ny fandrahoan-tsakafo ny tsaramaso nafanaina fangaro, ka manome vokatra tsaramaso misy gelatinized hydrolyzed starch.Amin'ny lafiny faharoa, ny famoronana ankehitriny dia manome fitambarana ahitana ampahany kely amin'ny legume, ary ny ampahany farafahakeliny amin'ny legume dia ahitana hydrolyzed hydrolyzé gelatinized.Null sanda
Mpamorona: Mark Turner (Arlington) Mpiasa: Biraon'ny lalàna tsy voatendry: Tsy misy Laharan'ny fampiharana ny mpisolovava, daty, hafainganam-pandeha: 25 septambra 2018 (637 andro hamoahana ny fangatahana)
Abstract: Ny tsofa misy lavaka dia misy mpikambana fototra namboarina mba hanitsiana ny tsofa lavaka amin'ny fitaovana fiara ary mpikambana iray amin'ny lelany miitatra orthogonal avy amin'ny lafiny tsirairay amin'ny mpikambana fototra.Ny singa tsirairay amin'ny lelany dia voafefy mba hanana ny faran'ny lavitra izay mivadika miampita mankany amin'ny lalana fanapahana lavitr'ilay mpikambana.Ho setrin'ny fihetsehan'ny fihodinan'ny lavaka hita mifandraika amin'ny rafitra amin'ny alàlan'ny fitaovana fiara, ny toeran'ny mpanelanelana amin'ny mpikambana amin'ny lelan'ny tsirairay dia mamorona lalan-dàlana mifanandrify voalohany amin'ny rafitra, ary ny fihetsiky ny mpikambana lelan-tsipìka mifanaraka amin'izany mankany amin'ny valin'ny rafitra. eo amin'ny faran'ny lamosina.Na dia mihodina amin'ny rafitra aza ny hazavan'ny lavaka, ny mpikambana tsirairay amin'ny lelany dia mivily miampita amin'ny lalana manapaka ary manaraka ny lalan'ny fanapahana tsipika voalohany mba hamokatra tapaka lalan-dra amin'ny rafitra.
[B23D] FAMPIANARANA;grooving;manety;famongorana;tsofa;fametrahana;fikikisana;;mitovy amin'ny fiasan'ny fanodinana metaly amin'ny fanesorana fitaovana, fa tsy manome fanampiny (manao fitaovana, sns. B23F; manapaka metaly B23K amin'ny fanamainana eo an-toerana; Ampiasaina handikana na hifehezana ny fandaharana B23Q)
Rafitra sy fomba fanamboarana, fanamboarana ary fanoloana ny manhole sy ny fantsona maloto Patent No. 10688713
Mpamorona: Edward Rau (Fort Worth), Jim White (Dallas) Mpiasa: Resinating LLC (Dallas) Biraon'ny lalàna: Regitz Mauck PLLC (eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16369261, 03/29/2019 ( 452 andro fampiharana)
Abstract: Ny famoronana ankehitriny dia fomba fanamboarana, fanamboarana ary fanoloana ny lavaka fantsakana sy ny fantsona maloto.Amin'ny endrika sasany, ampidirina amin'ny alalan'ny lavaka fidirana manhole na tsipika maloto mifandray ny bushing voaporitra.Alohan'ny hampidirana azy dia azo tapahina sy/na aforitra ny liner mba ho voatsindry amin'ny habeny izay afaka mandalo amin'ny fanokafana manhole na tsipika maloto.Aorian'ny fampidirana ny fantson-drano na ny fantson-drano, dia tokony ho lehibe ny liner ary apetaho amin'ny resin'ny tsipika fisarahana raha ilaina.Manaraka izany dia azo tsindrona fitaovana famatorana eo anelanelan'ny soson-kazo sy ny eny ambonin'ny tatatra na tatatra mba hamehezana izay triatra na triatra eo amin'ny rindrina biriky na rindrina vita amin'ny simenitra, ary azo asiana tombo-kase eo ambanin'ny tatatra efa misy na ny tatatra mba hanatsarana ny fahamarinan'ny rindrina. ny rafitra Performance sy ny fiainana fanompoana..Ny corbel vaovao dia azo apetraka sy apetaka amin'ny liner.
[B29C] Mamolavola na mampifandray plastika;endrika ara-nofo izay tsy omena amin'ny endrika plastika;aorian'ny fanodinana ny vokatra voaforona, toy ny fanamboarana (famokarana preforms B29B 11/00; famokarana sosona amin'ny fampifangaroana sosona tsy mifandray teo aloha Tsindrio ny vokatra, ireo sosona tsy mifandray ireo dia lasa vokatra, ny sosona dia hijanona miaraka B32B 37 / 00-B32B 41/00 ) [4]
Mpamorona: Robert S. Patrick (Plano) Mpiasa: Shark Wheel, Inc. (Lake California) Biraon'ny lalàna: Cionca IP Law PC (toerana tsy hita) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16181920 amin'ny 11/06/2018 (fampiharana 595 andro navoaka)
Famaritana: Fitaovana enti-mikarakara akora miaraka amin'ny lelany miaraka amin'ny forks manainga, izay misy ny lelany tsirairay miaraka amin'ny kodiaran'ny lelany izay mihemotra rehefa ampidinina ny lelany ary ny toerana miitatra rehefa atsangana ny lelany mba hampiakatra sy hanohanana ny entana.Ny kodiaran'ny lelany dia manana plurality pneutika mifanandrify amin'ny axis mahazatra, ny kodia tsirairay dia manana faribolana boribory ary periferika ivelany tsy mitovy amin'ny sinusoidally.Ny velaran-tany periferika tsy mitovy amin'ny sinusoidaly dia manana tampon'isa sy lohasaha mifanipaka manodidina ny circumference boribory, izay misy ny tendrony sy ny lohasaha mifamatotra.
[B60B] Kodia (manao kodia na kodiaran-kodiarana amin'ny alalan'ny fanodinkodinana B21H 1/00, fametahana, fandrefesana na extruding B21K 1/28);kodiaran'ny caster na famaky;mampitombo ny kodia adhesion
Mpamorona: Foek Le (Arlington) Mpiandraikitra: Safran Seats USA LLC (Gainesville) Biraon'ny lalàna: Kilpatrick Townsend Stockton LLP (birao tsy an-toerana 14) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16262459, 01/30/2019 (510 andro) navoaka ny fampiharana)
Abstract: Manoritsoritra ny rafitry ny sofa mandry fahizay ho an'ny seza mpandeha.Ny sezan'ny mpandeha dia misy fivorian'ny seza aoriana sy fivorian'ny seza.Ny fivorian'ny seza aoriana dia misy tohana lamosina ambony sy tohana lamosina ambany, ary ny tohana lamosina ambany dia azo mihetsika raha oharina amin'ny tohana lamosina ambony eo anelanelan'ny toerana mipetrapetraka sy mivelatra.Ny fivorian'ny fitoeran'ny seza dia misy tohana sy tohan'ny seza, ary ny fototry ny seza dia azo afindra eo anelanelan'ny toerana voatahiry sy ny toerana tsy mivelatra mifandraika amin'ny fanohanana.Ny fototry ny seza eo amin'ny toerana napetraka sy ny tohan'ny lamosina ambany amin'ny toerana napetraka miaraka dia mamaritra ny lava-tongotra natsangana mba handraisana ny tongotry ny mpandeha.
[B64D] Fitaovana ampiasaina amin'ny fiaramanidina na fiaramanidina;akanjo fiaramanidina;totom-boanjo;fandrindrana na fametrahan-jiro na fitaovana fanetsiketsehana amin'ny fiaramanidina
Mpamorona: James D. Bennett, Jr. (Fort Worth) Mpiasa: CGB Holdings, LLC (Kennedyll) Biraon'ny Lalàna: Tsy misy Laharana Fampiharana Torohevitra, Daty, Haingana: 16675807 tamin'ny 11/06/2019 (Fampiharana navoaka tao anatin'ny 230 andro)
Abstract: Rafitra fanarenana ampiasaina hamerenana ireo fiara rava any amin'ny toerana saro-dalana.Ny rafitra fanarenana matetika dia ahitana frame, tontonana anoloana ary tontonana aoriana.Ity farany dia manana rafitra fametrahana fametrahana ny rafitra fanarenana amin'ny fiara mandeha, ny andry mpitari-dalana sy ny kodiaran'ny mpitari-dalana, ny kojakoja boom, ary ny kojakojan-tsoavaly napetraka ao amin'ny tariby.Ny faran'ny pivot amin'ny fivorian'ny cantilever, ny winch ary ny tariby avy amin'ny winch amin'ny alàlan'ny cantilever ary manaraka ny cantilever ary amin'ny alàlan'ny fivorian'ny lohan-tsoavaly.Ny singa amin'ny lohan-tsoavaly dia matetika misy fametahana miendrika U, tsimaina miendrika U, kodiaran-kodiarana ary mpitari-dalana tariby.Ny clevis dia mihodinkodina raha oharina amin'ny fivondronan'ny boom ao anatin'ny sobika tariby, ny mpitari-dalana tariby dia tsara kokoa ny mivily eo an-tampon'ny fivorian'ny boom, ary ny kodiaran'ny pulley sy ny mpitari-dalana tariby dia tsara kokoa ny mihodinkodina eo amin'ny clevis pin ary izany no tsara kokoa mandika manaraka ny clevis pin.
[B60P] Mety amin'ny fitaterana entana na fitaterana, fiara mitondra na misy entana na zavatra manokana (fiara fitaterana marary na olona sembana manana fitsipika manokana, na fiara fitaterana manokana A61G 3/00)
Fitaovana fanafenana misy sisin-tany mitaratra sy sivana miloko ary fiara misy ny fitaovana fanafenana, ao anatin'izany ny Patent No. 10688930
Mpamorona: Ji Chengang (Ann Arbor, Michigan), Debasish Banerjee (Ann Arbor, Michigan), Kyu-Tae Lee (Ann Arbor, Michigan) Mpiasa: Toyota Motor Engineering Manufacturing North America Co., Ltd. (Plano) Biraon'ny mpisolovava: Dinsmore Shohl LLP (birao tsy an-toerana 14) laharana fangatahana, daty, hafainganam-pandeha: 15883875 amin'ny 01/30/2018 (mitaky 875 andro famoahana)
Abstract: Ny fitaovana mangalatra dia ahitana ny lafiny zavatra, ny lafiny sary, ary ny faritra miafina (CR) eo anelanelan'ny zavatra sy ny lafiny sary.Ny sisin'ny taratry ny CR amin'ny lafiny zavatra sy ny hamaroan'ny sivana loko amin'ny lafiny zavatra dia hita eo amin'ny lafin'ny zavatra, ary eo amin'ny lafin'ny sary ny sisin'ny taratry ny CR amin'ny sary sy ny hamaroan'ny sivana loko amin'ny lafiny sary.Ny hamaroan'ny sivana loko amin'ny lafiny zavatra dia mifanelanelana ary mifanandrify tanteraka amin'ny sisin'ny taratry ny CR amin'ny lafiny zavatra, ary ny hamaroan'ny sivana loko amin'ny lafiny sary dia misaraka ary mifanandrify betsaka amin'ny sisin'ny fisaintsainana CR lafiny sary..Ny hamaroan'ny sivana loko amin'ny lafiny zavatra sy ny hamaroan'ny sivana loko amin'ny lafiny sary dia mety ho coplanar, ary ny hazavana avy amin'ny zavatra iray eo amin'ny lafiny zavatra amin'ny fitaovana mangalatra dia mandeha amin'ny lalana roa farafahakeliny mba hamoronana sarin'ilay zavatra.Eo amin'ny lafin'ny sarin'ilay fitaovana tsy hita maso ilay zavatra.
[B60R] Fiara, kojakoja fiara na kojakoja fiara tsy natao ho an'ny tanjona hafa (novaina manokana ho fiarovana amin'ny afo, rivotra na famonoana afo amin'ny fiara A62C 3/07)
Motor sy multi-hafainganam-pandeha mandeha ho azy fifindran'ny delestazy fanaraha-maso patanty isa 10688983
Mpamorona: Thomas S. Hawley (Ann Arbor, Michigan) Mpiasa: Toyota Motor Engineering Manufacturing North America Co., Ltd. (Plano) Orinasa Mpahay lalàna: Sheppard, Mullin, Richter Hampton LLP (birao tsy an-toerana 7) Laharana fampiharana, Daty , Hafainganam-pandeha: 15669878 tamin'ny 08/04/2017 (fampiharana 1054 andro havoaka)
Abstract: Ny rafitra sy ny fomba dia manafoana ny fiantraikan'ny fampitomboana ny hafainganam-pandehan'ny maotera mandritra ny fanakatonana ny motera sy ny morontsirak'ilay fiara elektrika hybrid.Rehefa tapa-kevitra fa mandeha amin'ny hafainganam-pandeha avo lenta ny fiara elektrônika hybrid ary miaina toe-javatra miorim-paka izay nanombohan'ny motera, dia niova ho maotera elektrika ihany ny fiara elektrika hybrid.Ny torque môtô ratsy dia avoaka mba hampiadana ny fiara elektrônika hybrid rehefa miondrika.Mba hanamafisana ny toetry ny braking regenerative, ny fiara elektrika hybrid dia azo afindra amin'ny fitaovana ambany kokoa, ka mampitombo ny hafainganam-pandehan'ny maotera.Na izany aza, ny herin'ny maotera ratsy vokarin'ny downshift dia mety hitarika ho amin'ny traikefa fahasahiranana.Ny torque môtô ratsy dia azo ahena mifototra amin'ny fampitomboana torque amin'ny fitaovam-pitaovana ambany mba haka tahaka ny torque ratsy amin'ny kodiaran'ny fiara elektrônika hybrid izay tsy midina amin'ny fitaovana ambany.
[B60W] Fanaraha-maso iraisan'ny fiarakodia misy karazana na fiasa samihafa;mety indrindra ho an'ny rafitra fanaraha-maso ny fiara hybrid;rafitra fanaraha-maso fiara mandeha amin'ny lalana ho an'ny tanjona tsy mifandraika amin'ny fanaraha-maso ireo singa manokana [2006.01]
Mpamorona: Andrew G. Baines (Fort Worth), George Ryan Decker (Fort Worth), James Everett Kooiman (Fort Worth), John Richard McCullough (Fort Worth) Mpiasa: Textron Innovations Inc. (Providence, RI)) Firma lalàna: Lawrence Laharan'ny fangatahana Youst PLLC (eo an-toerana), daty, hafainganam-pandeha: 15667499 amin'ny 8 Febroary 2017 (1056 andro famoahana fangatahana ilaina)
Abstract: Ny elatra fuselage ampiasaina amin'ny elatry ny fiaramanidina rotor mitongilana dia ahitana singa fototra elatra fuselage sy singa hoditry ny elatra nalamina eo amin'ny elatra fuselage singa fototra.Ny singa hoditry ny elatra dia misy singa hoditry ny elatra ambany voalamina eo amin'ny ilany ambany amin'ny singa fototry ny elatra.Ny fiaramanidina mitongilana dia ahitana ny fuselage eo ambanin'ny elany.Ny singa hoditra amin'ny elatra ambany dia manana faritra iray na maromaro mifatotra eo ivelan'ny fuselage.Ho setrin'ny fiantraikan'ny fiaramanidina rotor amin'ny pitch, dia mora miondrika eo an-toerana ny faritry ny buckle, ka miaro ny fuselage tsy ho tratry ny elatra.
Mpamorona: Pasquale Spina (Laval, Kalifornia) Yann Lavallee (San Hippolyte, Kalifornia) Mpiandraikitra: Bell Helicopter Textron Inc. 2018 (mila avoaka mandritra ny 848 andro ny fangatahana)
Abstract: Joystick tsindraindray handefasana baiko fanaraha-maso amin'ny lelan'ny rotorcraft amin'ny alàlan'ny mpikambana iray farafahakeliny.Ny joystick tsindraindray dia misy tahony namboarina hifandray amin'ny tanan'ny mpanamory, sandry fanaraha-maso, ary fara-fahakeliny mekanika manidy.Ny faran'ny farany ambany amin'ny sandry fanaraha-maso dia namboarina mba hifandray amin'ny mpikambana amin'ny fampitana ary mifandray amin'ny rafi-panohanana fototra, ary ny farany ambony dia mifandray amin'ny tahony.Ny sandry fanaraha-maso dia misy ampahany amin'ny sandry voalohany sy sandry faharoa mifandray amin'ny tsirairay, ny sandry voalohany mamaritra ny farany ambany, ary ny sandry faharoa mamaritra ny farany ambony.Ny mekanika fanidiana dia manakana ny hetsika manan-danja eo anelanelan'ny sandry voalohany sy ny sandry faharoa ary ny hetsika mifandraika eo anelanelan'ny sandry faharoa sy ny tahony.Misy fomba iray hanitsiana ny toerana fihazonana ny joystick rotorcraft ao amin'ny cabin rotorcraft dia resahina ihany koa.
Mpamorona: Glenn Alan Shimek (Kennadale), Mark Adam Wiinikka (Hearst), Nathan Patrick Green (Mansfield) Mpiandraikitra: TEXTRON INNOVATIONS INC. (Tanànan'i Providence) Biraon'ny lalàna: Slater Matsil, LLP (eo an-toerana + 1 metro hafa) laharana fampiharana, daty , hafainganam-pandeha: 15590736 tamin'ny 9 May 2017 (1141 andro fangatahana fangatahana)
Abstract: Rafitra sy fomba fametahana damper amin'ny rambony amin'ny rambony, anisan'izany ny cuff mitambatra amin'ny lelany rotor.Ny cuff dia manana lugs ambony sy ambany miforona amin'ny fanitarana ny hoditra eo amin'ny fototry ny lelan'ny rotor blade.Ny hoditra dia miitatra amin'ny fototry ny lelany mankany amin'ny faran'ny fakan'ny lelan'ny rotor.Ampidirina ao amin'ny vavahady eo anelanelan'ny lugs ny faran'ny tsorakazo amin'ny fanamafisam-peo.Ampifandraisina amin'ny lelany ny faran'ny tsorakazo amin'ny alàlan'ny bolt mandalo amin'ny lavaka fampifanarahana amin'ny lug.Ny cuff koa dia mampifandray ny lelany rotor amin'ny tahony ao anaty cuff.Ny cuff dia ahitana ny fitaovana mitovy amin'ny endriky ny hoditra.Apetaka amin'ny fonon'ny sorona ny sorona.Ny cushion dia mamela elanelana voafaritra tsara eo anelanelan'ny lugs nefa tsy manala ny hoditra amin'ny cuff.
Rotor mitongilana maotera ivelany miaraka amin'ny rafi-pitaterana amin'ny sisiny sy ny fiodinan'ny andry lehibe miodina Patent No. 10689106
Mpamorona: Brent Chadwick Ross (花丘), Jeremy Chavez (Colleyville) Mpiandraikitra: BELL HELICOPTER TEXTRON INC. (Fort Worth) Biraon'ny lalàna: Chalker Flores, LLP (eo an-toerana) laharana fampiharana, daty, hafainganam-pandeha: 15642525 amin'ny 07/06/2017 (Navoaka ny fangatahana 1083 andro)
Famintinana: Ny famoronana ankehitriny dia misy rafitra mitondra rotorcraft, ao anatin'izany ny: motera iray, izay eo amin'ny faran'ny elatra eo anelanelan'ny elatra afovoany sy ny elatra aoriana;andry lehibe apetraka eo anoloana avy amin'ny motera, afaka mihodinkodina sy manidina mandroso ny andry lehibe Mihodinkodina eo anelanelan'ny taolan-tehezana anatiny sy ny taolan-tehezana ivelany na ny firafitry ny andry lehibe miaraka amin'ny tendrony anatiny tohanan'ny taolan-tehezana mihodinkodina. ;mifandray amin'ny motera ny tobin-tsolika mitongilana, izay mifandray amin'ny fitaovam-pitaterana maro ny tobin-tsolika mitongilana ary mifamatotra ny tady, ary rehefa eo amin'ny toeran'ny mandroso ny tohatra lehibe, ny toeran'ny hovering sy ny fifindrana eo amin'ny toerana mandroso ary ny toerana hovering, ny herin'ny prime mover gearbox dia tsy ho very.
Mpamorona: Brent Scannell (Quebec, CA), Thomas Mast (Carrollton) Mpiandraikitra: BELL HELICOPTER TEXTRON INC. (Fort Worth) Firma Lalàna: Patent Capital Group (tanàna + 6 hafa) laharana fampiharana, daty, hafainganam-pandeha: 15627412 tamin'ny 19 Jona , 2017 (navoaka ny fangatahana 1100 andro)
Abstract: Amin'ny endrika iray, ny fivorian'ny efitrano fidiran'ny rivotra ho an'ny fiaramanidina mihodina, ao anatin'izany ny: ny efitrano fidiran'ny rivotra dia voafaritra amin'ny lafiny voalohany amin'ny rindrin'ny efitrano fidiran'ny rivotra ary amin'ny lafiny faharoa amin'ny fivorian'ny rindrina afo eo anoloana;Ny rindrin'ny efi-trano dia manana interface mekanika handraisana boaty fampihenana (RGB) mba hanomezana fitaovam-pandrefesana ho an'ny fiara.Ny fivorian'ny firewall eo anoloana dia misy loaka fidirana natsangana mba handraisana ny tobin-drivotra azo ampifandraisina amin'ny motera.Anisan'izany, ny singa afon-trano mandroso dia ahitana sosona ambony amin'ny rindrina afo mandroso sy sosona ambany amin'ny rindrina afo mandroso, ary ny sosona ambony amin'ny firewall mandroso dia amboarina mba hapetraka eo amin'ny sosona ambany amin'ny firewall mandroso.
[B64D] Fitaovana ampiasaina amin'ny fiaramanidina na fiaramanidina;akanjo fiaramanidina;totom-boanjo;fandrindrana na fametrahan-jiro na fitaovana fanetsiketsehana amin'ny fiaramanidina
Mpamorona: Clifton Glenn Hampton (Dallas) Mpiasa: Biraon'ny lalàna tsy nomena: Baker Law Firm (birao tsy eo an-toerana 5) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15874808 amin'ny 01/18/2018 (eo amin'ny 887 andro) olana)
Famintinana: Fomba fanoloana fanjaitra fitaovana fametahana marika, ny fomba dia ahitana ny fametahana farafaharatsiny ampahany amin'ny fanjaitra fitaovana fametahana marika miaraka amin'ny fitaovana fametahana marika ao anaty fitoeran-jiro;ary manala ny fanjaitra fitaovana fametahana amin'ny fitaovana fametahana marika miaraka amin'ny fitoeran'ny fanjaitra.Ny fitahirizana fanjaitra fitaovana fametahana dia misy lavaka tubular voalohany natao handraisana ao, fara fahakeliny, ny ampahany amin'ny fanjaitra fitaovana fametahana voalohany, izay misy ny lavaka tubular voalohany izay mifanentana amin'ny fanaovan-gazety, ny fanaovan-gazety dia amboarina mba ho azo antoka rehefa atosiky ny ampahany amin'ny tany. fanjaitra ny fitaovana famantarana voalohany.Fanjaitra fitaovana fametahana voalohany;ary lavaka tubular faharoa mitambatra amin'ny loaka tubular voalohany ary ampifanarahina mba handraisana ao, fara fahakeliny, ny ampahany amin'ny fanjaitra fitaovana fametahana marika faharoa, ka ny lavaka tubular faharoa dia mety ho azo antoka hanakatona ny fametahana fanaovan-gazety amin'ny ampahany amin'ny fitaovana marika faharoa. .Atsofohy eo amin'ny fanjaitra fitaovana misy marika faharoa ny fanjaitra ora.
[B65C] Masinina fametahana na marika, fitaovana na fomba fiasa (matetika B25C, B27F ho an'ny fantsika na famatorana; dingan'ny crayfish applique B44C 1/16; marika ho an'ny tanjona fonosana B65B; etikety, takelaka anarana G09F)
Mpamorona: Lane Segerstrom (Frisco) Mpiasa: Biraon'ny lalàna tsy voatendry: Foley Lardner LLP (toerana + 13 metropolises hafa) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16/05/251 tamin'ny 11/05/2018 (596 andro nanaovana fangatahana))
Abstract: Amin'ny endrika iray, ny lovia azo fikojakojana dia misy rafitra fanohanana azo ovaina sy sensor mba hamantarana izay mety ho fahasimbana mety ho tratran'ny rafitra fanohanana azo ovaina.Ny lovia azo fikojakojana dia mety ahitana fonon-tanana roa, ny fonon-tanana roa mifamatotra amin'ny farany mba hamoronana mahitsizoro, ny toeran'ny bracket afovoany eo anelanelan'ny fonon-damosina roa, ary ny bracket lateral ambony maro dia raikitra amin'ny sisiny roa mba hamoronana ampahany roa. releasable Ny enta-mavesatry ambony ny fastener sy ny fanohanana afovoany, ary ny tendrony raikitra amin'ny lafiny roa tohanana mba hamorona roa ambany lateral fanohanana miaraka amin'ny ambonin'ny ambany ny tapany roa releasable fastener.Amin'ny embodiments sasany, ny sensor dia azo ampidirina amin'ny lafiny roa tohanana mba handrefesana, ohatra, ny fanafainganana, ny toerana na ny fampahalalana lozisialy hafa.Rehefa fantatra ny ampahany simba, dia azo rava ny pallet azo tazonina amin'ny famahana ny fametahana roa azo avoaka mba hanesorana ny ampahany simba;ary ilay tapany azo soloina vaovao no hanolo ilay tapany simba.
[B65D] fitoeran-javatra ampiasaina amin'ny fitehirizana na fitaterana lahatsoratra na fitaovana, toy ny kitapo, barika, tavoahangy, boaty, kapoaka, baoritra, vata, sisa tavela, kapoaka, fiara mifono vy, boaty, kaontenera mandroso;kojakoja, fanakatonana na Isan'ny singa fonosana fanampiny
Mpamorona: Chad Huebner (Plano), David Lestage (Allen), Martin E. Broen (New York, New York), Todd Huthmaker (McKinney) Mpiasa: Frito-Lay North America, Inc. (Plain Connaught) Biraon'ny lalàna: Barnes Thornburg LLP (eo an-toerana + 12 hafa tanàn-dehibe metropolitan) laharana fampiharana, daty, hafainganam-pandeha: 15729912 amin'ny 11 Oktobra 2017 (986 andro ny daty famoahana)
Abstract: Nambara ny fonosana tsakitsaky tsy manam-paharoa azo averina.Ny embodiments sasany dia misy rindrina amin'ny sisiny henjana natsangana mba hanohanana ny kitapo azo esorina mba hitazonana ny hatevin'ny kitapo rehefa apetraka eo an-damosiny ary misokatra ho an'ny fihinanana vokatra.Ho fanampin'izany, ny fonosana nambara dia misy flap azo fehezina azo fehezina miaraka amin'ny tabilao mitsangana ho an'ny mpanjifa hanesorana ny kitapo amin'ny fanasarahana ny flap amin'ny tapany anoloana amin'ny kitapo, ary avy eo amin'ny fanasarahana ny flap Avereno eo amin'ny varavarana ilay tapa-kazo mba hamehezana indray ny kitapo. kitapo.Ny sisiny henjana dia mamela ny tsy fivadihan'ny vokatra ho tazonina aorian'ny famehezana ny kitapo, ka raha tehirizina ho an'ny fampiasana aoriana ilay kitapo, dia tsy ho potipotika ny vokatra.Ny sisiny amin'ny flap azo peel dia rakotra adhesive azo fehezina, ary ny tendrony iray na iray amin'ny fefy dia mifamatotra amin'ny ampahany amin'ny fonon'ny kitapo.
[B65D] fitoeran-javatra ampiasaina amin'ny fitehirizana na fitaterana lahatsoratra na fitaovana, toy ny kitapo, barika, tavoahangy, boaty, kapoaka, baoritra, vata, sisa tavela, kapoaka, fiara mifono vy, boaty, kaontenera mandroso;kojakoja, fanakatonana na Isan'ny singa fonosana fanampiny
Famoronana pozzolan misy lavenona lavenona sy fitaovana fanamboarana ny akora simenitra Patent No. 10689292
Mpamorona: Jeffrey Alexander Whidden (Brentwood, Missouri), Joseph Earl Thomas (Joseph Mad City), Richard Douglas Carter (Macon, Georgia) Mpiasa: CR Minerals Company, LLC (Fort Worth) Law Firm: O” Connor Company (toerana tsy hita ), laharana fangatahana, daty, hafainganam-pandeha: 16011856 amin'ny 19 Novambra 2018 (mila avoaka mandritra ny 735 andro ny fangatahana)
Abstract: Hita tsy nampoizina fa ny fampidirana pozzolan voajanahary na pozzolan hafa amin'ny lavenona tsy manara-penitra dia mety hanatsara ny fampandehanana ny lavenona tsy manara-penitra, mba hahafahany mandalo ny fanamarinana ASTM C618 sy AASHTO 295.Grade F na Grade C lavenona.Ny lavenona volkano voajanahary dia mety ho fipoahana volkano, toy ny pumice na perlite.Ny pozzolans hafa dia azo ampiasaina amin'ny fizotran'ny tombotsoa.Pozzolans maro no nandalo fitsapana andrana ary azo ampiasaina hisafidianana lavenona tsy manara-penitra ho lavenona F azo antoka.Ho fanampin'izany, ny fampahafantarana amin'izao fotoana izao dia manome fomba iray hamadihana ny lavenona Class C ho lasa lavenona Class F sarobidy kokoa.Ity fikarohana ity dia hanitatra ny fihenan'ny famatsiana lavenona Class F ary hamadika ireo fako fako tsy voafaritra ho lasa pozzolan lavenona sarobidy sy voamarina, amin'izany dia miaro sy manamafy orina ny simenitra, fako sy simenitra.
[C04B] sokay;Oksida manezioma;Slag;simenitra;Ny singa, toy ny fako, simenitra na fitaovana fanorenana mitovy aminy;vato artifisialy;Seramika (seramika fitaratra C03C 10/00);Fitaovana refractory (mifototra amin'ny metaly refractory C22C);Fitsaboana vato voajanahary [4]
Mpamorona: Charles D. Welker (Dallas), Norman Scott Smith (Arlington) Mpiasa: MACH IV, LLC (Dallas) Biraon'ny Lalàna: Tsy misy Laharan'ny fangatahana torohevitra, Daty, Haingana: 15435451, 17/02/2017 (1222 andro famoahana ny ny fampiharana)
Famintinana: Ny fomba iray amin'ny fanomanana ny fananganana simenitra ho an'ny tsindrona ambany dia ahitana ny fampiasana mpanara-maso hifehy ny dingana iray.Ny dingana dia ahitana ny fikorianan'ny rano fizotry ny fotoana efa voafaritra mialoha ao amin'ny dingana famatsian-drano loop, raha ny fanaraha-maso sy ny fanaraha-maso ny mari-pana sy ny fikorianan'ny ny rano dingana, Ny rano mivezivezy mifehy ny rivotra entrainment vahaolana ao amin'ny aqueous rivotra entrainment vahaolana famatsiana circuit ao anatin'ny a fe-potoana voafaritra mialoha, ary mifehy ny tahan'ny fikorianan'ny ranon-drivotra entrainment anaty rano, ary aorian'ny fe-potoana voafaritra mialoha, dia milamina ny taham-pidiran'ny rano voatsabo sy ny vahaolana aqueous.Amin'izany fotoana izany, ny valva dia mihetsika mba hamindra sy hampifangaro ny rano fizotry ny rano, ny rivotra mifototra amin'ny rano dia miditra amin'ny vahaolana ary ny rivotra mipoitra mba hamoronana foam misy rivotra, ary mampifangaro ny foam amin'ny fananganana simenitra ho an'ny fizarana downhole.
[C04B] sokay;Oksida manezioma;Slag;simenitra;Ny singa, toy ny fako, simenitra na fitaovana fanorenana mitovy aminy;vato artifisialy;Seramika (seramika fitaratra C03C 10/00);Fitaovana refractory (mifototra amin'ny metaly refractory C22C);Fitsaboana vato voajanahary [4]
Mpamorona: Mark O. Scates (Houston), Ronald D. Shaver (Houston), Yaw-Hwa Liu (Tanànan'i Missouri) Mpiandraikitra: CELANESE INTERNATIONAL CORPORATION (Owen) Biraon'ny lalàna: Kilpatrick Townsend Stockton LLP (14 birao tsy eo an-toerana) Laharan'ny fangatahana , daty, hafainganam-pandeha: 16165575 tamin'ny 19 Oktobra 2018 (613 andro taorian'ny famoahana ny fangatahana)
Famintinana: Fomba fanadiovana asidra acetika amin'ny alàlan'ny fanalefahana ny fizotran'ny fizotran'ny tilikambo, mamorona anhydride acetic ao amin'ny faritra ambany amin'ny tilikambo.Ny renirano vokatra navoaka avy amin'ny tsanganana dia misy asidra acetic, rano, ny fifantohana izay tsy mihoatra ny 0,2% amin'ny lanjany.Ny fifantohana amin'ny anhydride acetic sy anhydride acetic dia tsy mihoatra ny 600 wppm.Ny fomba dia ahitana ihany koa ny hydride ny acetic anhydride ao amin'ny vokatra stream ho voadio vokatra asidra acetic, izay misy acetic anhydride amin'ny fifantohana tsy mihoatra ny 50 wppm.
[C07C] Acyclic na carbocyclic compounds (macromolecular compound C08; organika novokarin'ny electrolysis na electrophoresis C25B 3/00, C25B 7/00)
Mpamorona: Abir Saha (Chicago, Illinois), Zhizhi Chen (Dublin, Ohio), Jill Lin (Jun Lin), Ohio, Carmel, Indiana, Carmel, Indiana ), Rini Sherony (Ann Arbor, Michigan), Stanley Jung-Ping Chien (Zionsville, Indiana), Yaobin Chen (Carmel, Indiana) Mpiasa: Toyota Motor Engineering Manufacturing North America, Inc. (Plano) Firm Firm: Darrow Mustafa PC (birao 2 tsy eo an-toerana) Laharan'ny fangatahana: Daty, hafainganam-pandeha: 16144256 tamin'ny Septambra 27, 2018 (635 andro navoaka ny fangatahana)
Famintinana: Ny solon'ny zavatra eny amoron-dalana (toy ny fefy metaly) dia azo ampiasaina amin'ny fitiliana fiara.Mety ho mitovy habe sy/na endrika mitovy amin'ny fefy metaly nalain'ny mpisolo toerana ny solon'ny arofanina vy.Rehefa tsapa amin'ny alalan'ny sensor fiara iray na maromaro (ohatra, fakan-tsary, sensor radar, ary/na sensor LIDAR), dia azo amboarina ny mpisolo toerana mba hanehoana ny toetra mitovy amin'ny tena fiambenana metaly mifanaraka aminy.Ny safidy toy izany dia azo ampiasaina hitsapana fiara tsy miankina, sensor fiara iray na maromaro, rafitra fandrefesana fiara, ary/na rafitra fiara iray na maromaro (oh: rafitra fanalefahana ny fiaingana).Ny mpisolo toerana dia azo amboarina mba hanohitra ny fiantraikan'ny fiara andrana tsy misy fahasimbana ary tsy manimba ny fiara andrana.
[E01F] Asa hafa, toy ny fametrahana ny lalana na ny lampihazo, ny dingana fiantsonan'ny helikoptera, ny famantarana, ny tatatra na ny fanorenana mitovy amin'izany.
Mpamorona: David Patton (Flower Hill), Ersen Boran (Chalfont, PA), Gary Reuther (Warminster, PA), Michael Creighton (Warrington, PA), Nicholas Max (Quakertown, PA), Shuichi Amano (Bethlehem, PA)) : Variex, LLC (Coppell) Biraon'ny lalàna: Variable LLP (7 birao tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15979909 amin'ny 15 mey 2018 (ilaina ny fangatahana 770 andro)
Famintinana: Ny takelaka rindrina ampiasaina amin'ny fanangonana haingana ny rafitra rindrina dia mety ahitana tsanganana mitsangana voalohany sy tsanganana mitsangana faharoa.Ny takela-drindrina dia mety ahitana tady ambany kokoa hampifandray ny tsanganana voalohany amin'ny tsanganana faharoa ary ny tsipika ambony hampifandraisana ny tsanganana voalohany amin'ny tsanganana faharoa.Ny takela-drindrina dia mety ahitana rafitra iray fara-fahakeliny mba hametrahana eo amin'ny tohana mitsangana voalohany, ny fanohanana mitsangana faharoa, ny tady ambany ary ny tady ambony.Ny takela-drindrina dia mety ahitana fandrika voalohany azo tsoahina amin'ny rafitra iray fara-fahakeliny, ny fametahana voalohany azo alaina dia amboarina mba hampidirana farafaharatsiny ny iray amin'ny andry mitsangana voalohany, ny andrin-jiro faharoa mitsangana, ny fanenjanana ambany na ny fanenjanana ambony.Ny rafitra ho an'ny rafitra rindrina fivoriambe haingana sy ny fomba fanangonana ny rafitra rindrina fivorian'ny haingana dia voalaza ihany koa.
[E06B] fanakatonana raikitra na azo mihetsika ho an'ny fisokafana amin'ny tranobe, fiara, fefy na trano mitovitovy amin'izany, toy ny varavarana, varavarankely, fanakanana, varavarana, sns. ) saron'ny maotera B62D 25/10;tafo masoandro E04B 7/18;lamba firakotra masoandro, lamba firakotra E04F 10/00)
Mpamorona: James D. Cunningham (Clarkston, Michigan), John K. Gray (Salin, Michigan) Mpamorona: Toyota Motor Engineering & Manufacturing North America Co., Ltd. (Plano) Orinasa Mpampanoa lalàna: Dinsmore Shohl LLP (14 birao tsy eo an-toerana ) laharana fangatahana, daty, hafainganam-pandeha: 15867088 tamin'ny 10 Oktobra 2018 (navoaka ny fangatahana 895 andro)
Abstract: Ny fivorian'ny varavarankelin'ny fiara dia misy tontonana varavarana ivelany sy fivorian'ny varavarana mihidy azo ampiasaina hamehezana sy hamahana ny fivorian'ny varavaran'ny fiara.Ny fivorian'ny famohana varavarana dia misy fivorian'ny fikandrana varavarana izay mifamatotra amin'ny crank amin'ny fivorian'ny fikandrana varavarana, izay ny fihodinan'ny crank dia mahatonga ny fivorian'ny varavarana hivoahana ny fivorian'ny varavarana, ary ny crank dia manakana ny rafitra.Ny rafitra fanakanana crank dia ahitana tongotra voalohany mitambatra amin'ny rafitra fanohanana ao amin'ny fivorian'ny varavarana ary ampahany mihantona mifandray amin'ny tongotra voalohany.Ny ampahany mihantona dia miitatra mivoaka avy eo amin'ny tongotra voalohany ary misaraka amin'ny fiaramanidina fihodinan'ny crank eo ambanin'ny fepetra fiasan'ny fiara mahazatra.Ny ampahan'ny overhang dia namboarina mba hikorontana mankany amin'ny fiaramanidina fihodinan'ny crank amin'ny toe-javatra mifandona amin'ny lafiny iray mba hisorohana ny fihodinan'ny crank.
[E06B] fanakatonana raikitra na azo mihetsika ho an'ny fisokafana amin'ny tranobe, fiara, fefy na trano mitovitovy amin'izany, toy ny varavarana, varavarankely, fanakanana, varavarana, sns. ) saron'ny maotera B62D 25/10;tafo masoandro E04B 7/18;lamba firakotra masoandro, lamba firakotra E04F 10/00)
Mpamorona: Nam Duy Nguyen (Lewisville) Mpiasa: PDB Tools, Inc. (Grapevine) Biraon'ny lalàna: Handley Law Firm, PLLC (birao tsy an-toerana 1) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16712223 12/12/2019 (194 andro) navoaka ny fampiharana)
Abstract: Ny bitika fandavahana vatolampy voaisy tombo-kase dia manana tongotra maro, ary misy diary mivelatra miditra sy midina miforona eo amin'ny faran'ny tongotra.Ny tongotra tsirairay dia manana endrika vita mifanakaiky amin'ny farany ambany amin'ny diary, ary misy lavaka famehezana manodidina ny diary mifanaraka amin'izany dia miforona eo ambonin'ny tany.Ny mpanapaka dia mihodinkodina amin'ny diary mifanaraka amin'izany, ary ny lamosin'ny mpanapaka dia mifanakaiky amin'ny iray amin'ireo faritra voavoatra farany.Ny sisiny aoriana amin'ny fitaovana dia misy fanindronana annular manomboka amin'ny lafiny aoriana ary mipoitra ao amin'ny lavaka famehezana, any an-dafin'ny machining farany amin'ny gazety, ary mivoaka avy ao amin'ny famehezana annular, ny tampon'ny famehezana annular dia voalamina ao amin'ny lavaka famehezana. Ny tombo-kase eo amin'ny tapany afovoany dia mifamatotra, mamela toerana malalaka kokoa eo anelanelan'ny diary sy ny mpanapaka ho an'ny bearings lehibe kokoa.
[E21B] Fanamboaran-tany na fandavahana vato (fitrandrahana harena an-kibon'ny tany, fitrandrahana E21C; fanamboaran-dalana, lalana na tonelina E21D);mahazo menaka, entona, rano, akora mety levona na azo levona na andiana mineraly avy amin'ny fantsakana [5]
Mpamorona: Antony F. Grattan (Mansfield), Douglas J. Streibich (Fort Worth), Michael C. Robertson (Arlington), William F. Boelte (New Iberia, Louisiana) Mpiandraikitra: ROBERTSON INTELLECTUAL PROPERTIES, LLC (Mansfield) Law Firm: Matthews, Lawson, McCarson Joseph, PLLC (birao 1 tsy eo an-toerana) laharana fampiharana, daty, hafainganam-pandeha: Janoary 19, 2016 15001055 (Ny daty fampiharana dia 1617 andro)
Famintinana: Rafitra sy fomba hanesorana ny casing amin'ny lavaka fantsakana, ao anatin'izany ny fitaovana fanalana casing ao anatin'izany ny vatana tubular izay misy ny thermite namboarina mba hampidirana solika thermite mitsonika.Ny fitaovana fanalana cannula dia misy ihany koa ny laharan'ny nozzle manana fatrany nozzles eo amin'ny lafiny ivelany amin'ny vatana tubular.Ny firafitry ny nozzle dia namboarina mba hampidirana solika thermite mitsonika avy ao anatin'ny fantsona mankany amin'ny casing wellbore.Ny fitaovana fanalana casing dia misy ihany koa ny fanelanelanana tari-dalana namboarina mba hapetraka ao amin'ny fitaovana fitarihana ambany.
[E21B] Fanamboaran-tany na fandavahana vato (fitrandrahana harena an-kibon'ny tany, fitrandrahana E21C; fanamboaran-dalana, lalana na tonelina E21D);mahazo menaka, entona, rano, akora mety levona na azo levona na andiana mineraly avy amin'ny fantsakana [5]
Mpamorona: Michael Dale Ezell (Carrollton) Mpiasa: Halliburton Energy Services, Inc. (Houston) Biraon'ny lalàna: Gilliam IP PLLC (birao tsy eo an-toerana 1) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15548410, 03/19/2015 (fampiharana navoaka tamin'ny 1923)
Abstract: Ny fitaovana mitoka-monina amin'ny lavaka fantsakana dia misy vatana lava sy fivorian'ny packer nalamina manodidina ny vatana lava, ny fivorian'ny packer misy tombo-kase ambony sy tombo-kase ambany eo anelanelan'ny soroka ambony sy ambany. Ny bika eo anelanelan'ny tampon'ny famehezana ambony sy ny mpikambana famehezana ambany dia manana tendrony ambony, tendrony ambany, ary ampahany miendrika mivelatra eo anelanelan'ny tendrony ambony sy ny farany ambany.Ny soroka ambony dia mifandray amin'ny soroka ambony, ary ny soroka ambany dia mifandray amin'ny soroka ambany.Ny kiraro fanohanana ambony dia manana sandry fanenitra mivelatra eo amin'ny singa famehezana ambony ary tongotra miondrika voaray eo amin'ny elanelana eo amin'ny fonony ambony sy ny soroka.Ny kiraro fanohanana ambany dia manana sandry fanenitra mivelatra eo amin'ny singa famehezana ambany ary tongotra miondrika voaray eo amin'ny elanelana voafaritra eo amin'ny tanany sarony ambany sy ny soroka.
[E21B] Fanamboaran-tany na fandavahana vato (fitrandrahana harena an-kibon'ny tany, fitrandrahana E21C; fanamboaran-dalana, lalana na tonelina E21D);mahazo menaka, entona, rano, akora mety levona na azo levona na andiana mineraly avy amin'ny fantsakana [5]
Mpamorona: Andrew John Elrick (Peterhead, GB), Dennis E. Roessler (Fort Worth), Iain Morrison Macleod (Newmachar, GB), John T. Hardesty (Weatherford), Paul Andrew Church (Danestone, GB), Peter ·Alan Jonah (Peter Alan Joiner, Denniston, Danemark) mpanome: GEODYNAMICS, INC. (Millsap) Biraon'ny Lalàna: Patent Portfolio Builders PLLC (4 birao tsy eo an-toerana) laharana fangatahana, daty, hafainganam-pandeha: 15/01076, 01/2018 (753 andro) navoaka ny fangatahana)
Abstract: Fitaovana fanemorana fotoana ampiasaina miaraka amin'ny fitaovana midina amin'ny lavaka fantsakana.Amin'ny endrika fakan-tahaka, ny fitaovana dia misy circuit elektronika misy fameram-potoana, fuse ary fitaovana spool shunt.Ny fitaovana spool shunt dia ahitana tsimatra afovoany voatana amin'ny toerana voafetra, ny tsimatra afovoany sy ny spool, ary ny spool manodidina ny spool.Lohataona singa.Ny fanerena mihatra amin'ny fitaovana fanetehana (toy ny kapila vaky) dia manetsika ny fanerena fanerena ao amin'ny faritra elektrônika ary manomboka ny fameram-potoana, izay amboarina miaraka amin'ny fotoana fanisana efa nomanina.Rehefa tapitra ny fameram-potoana, dia miteraka famantarana ny fameram-potoana ao amin'ny circuit elektrônika izay mahatonga ny fuse ho tapaka sy hamoaka ny singa lohataona, ka mamindra ny afovoan'ny spool diverter mankany amin'ny toeran'ny fiasa ary manetsika ny fitaovana ambany.
[E21B] Fanamboaran-tany na fandavahana vato (fitrandrahana harena an-kibon'ny tany, fitrandrahana E21C; fanamboaran-dalana, lalana na tonelina E21D);mahazo menaka, entona, rano, akora mety levona na azo levona na andiana mineraly avy amin'ny fantsakana [5]
Mpamorona: Elling James Newell (Argyle), Mark Henry Strumpell (Allen) Mpiasa: Halliburton Energy Services, Inc. , 2015 (azo avoaka ao anatin'ny 1821 andro ny fangatahana)
Abstract: Ny rafitra fandoroana fitsapana tsara dia misy nozzles burner marobe, ny nozzle tsirairay dia misy valva rivotra sy valva vokatra tsara izay azo afindra eo anelanelan'ny toerana misokatra, izay ahafahan'ny rivotra sy ny vokatra tsara hivezivezy amin'ny alàlan'ny nozzle burner mba handresena ny rivotra / fantsakana. fifangaroana sy toerana akaiky mba hisorohana ny rivotra sy ny vokatra tsara tsy hivezivezy amin'ny alalan'ny nozzle burner.Ny fitaovana fampandehanana iray na maromaro dia mifamatotra amin'ny valva rivotra sy ny valva vokatra tsara mba hamindra ny valva sy ny valva vokatra tsara eo anelanelan'ny toerana misokatra sy mihidy.
[E21B] Fanamboaran-tany na fandavahana vato (fitrandrahana harena an-kibon'ny tany, fitrandrahana E21C; fanamboaran-dalana, lalana na tonelina E21D);mahazo menaka, entona, rano, akora mety levona na azo levona na andiana mineraly avy amin'ny fantsakana [5]
Mpamorona: Manoj Gopalan (Fort Worth) Mpiasa: Rime Downhole Technologies, LLC (Benbrook) Biraon'ny Lalàna: Tsy misy Laharan'ny Fampiharana Torohevitra, Daty, Haingana: 16544179 amin'ny 08/19/2019 (309 andro, fangatahana famoahana )
Abstract: Rafitra mpamokatra pulse asynchronous overhead ho fandrefesana mandritra ny fitaovana fandavahana.Mampiasa fikorianan'ny hydraulic, sakana, rafitra fifandanjana piston sy orifice izy io, ary mampiasa tsindry hydraulika amin'ireo sakana ao amin'ny mpamokatra pulsa lehibe mba hanatanterahana ny fluid fandavahana.Manampy amin'ny fanakatonana sakana.Ny valva poppet dia napetraka eo ambonin'ny lavaka (ambonimbony) ary atosiky ny fikorianan'ny fluid amin'ny toerana mihidy.Ny rafitra fifandanjana piston dia mifamatotra amin'ny spool poppet, izay hita any ambanin'ny orifice amin'ny mpamokatra pulsa lehibe, ary mamaly ny fanerena harato eo amin'ny ilany ambony sy ambany amin'ny piston mba hamindra ny spool poppet.Ny piston ihany koa dia mamaly ny fivorian'ny lohataona, manosika ny lohataona hidina ary mamindra ny poppet valve mankany amin'ny toerana mihidy.Ny servo pulse generator izay hita any ambany amin'ny main pulse generator dia afaka manokatra sy manidy ny lalan'ny fikorianan'ny bypass fehezin'ny valva servo rotary mba hifehezana ny tsindry amin'ny piston.
[E21B] Fanamboaran-tany na fandavahana vato (fitrandrahana harena an-kibon'ny tany, fitrandrahana E21C; fanamboaran-dalana, lalana na tonelina E21D);mahazo menaka, entona, rano, akora mety levona na azo levona na andiana mineraly avy amin'ny fantsakana [5]
Ny singa gorodona amin'ny fananganana gorodona, ny saron-tany ary ny fomba famokarana singa gorodona Patent No. 10690157
Mpamorona: Claudio Caselli (Dallas), Jan Eddie Derek (Glasbergen, BE), Rahul Patki (Richardson) Mpiandraikitra: Dattier (Dallas) ) Firma lalàna: Troutman Sanders LLP (birao tsy an-toerana 9) laharana fampiharana, daty, hafainganam-pandeha: 16455818 tamin'ny 28 Jona 2019 (navoaka 361 andro nanaovana fangatahana)
Abstract: Singa amin'ny gorodona amin'ny fandrakofana gorodona, izay misy ny singa gorodona misy takelaka misy sisiny misy singa mifamatotra mifanaraka amin'ny fiaraha-miasa amin'ireo singa mifanakaiky amin'ny gorodona eo amin'ny fonon-tany Ny singa mampifandray, izay ahitana singa iray farafahakeliny mpikambana convex.Ampahany ary fara fahakeliny ampahany concave, ny ampahany convex dia apetraka eo amin'ny sisiny voalohany ary mipoitra mivoaka ivelan'ny sisiny ambony amin'ny sisiny voalohany, ny ampahany concave dia napetraka eo amin'ny sisiny faharoa ary miitatra ao anatiny mihoatra ny sisiny ambony amin'ny sisiny faharoa, Ny groove dia miforona ho an'ny ampahany kely indrindra amin'ny mpikambana lahy, izay ahitana ny fidirana manana sakany mitsangana, izay ny tahan'ny sakany mitsangana amin'ny hatevin'ny solaitrabe dia mihoatra ny 0,4, ary ny hatevin'ny solaitrabe dia. avy amin'ny 3,2 mm hatramin'ny 6 mm
[E04B] Trano tsotra;rindrina, toy ny fisarahana;TAFO;gorodona;valindrihana;insulation na fiarovana hafa amin'ny trano (ny sisin-tany fananganana varavarana amin'ny rindrina, gorodona na valindrihana E06B 1/00)
Mpamorona: Bruce W. Moore (Midlothian), Tammy L. Moore (Midlothian) Mpiasa: UNSSIGNED Law Firm: No. No. Application Counsel, Date, Speed: 16517277 tamin'ny 07/19/2019 (navoakan'ny app 340 days)
Abstract: Ny adaptatera iray hametrahana kaopy ao anaty fitoeran-kaopy nentim-paharazana dia misy tampony eo an-tampony, misy slot mitsangana mitsangana eo an-tampony dia amboarina mba handraisana tahony ho an'ny kaopy, ary ambany iray hampidirana ny adaptatera ao amin'ny fitoeran'ny kaopy..
[F21V] endri-javatra na antsipirian'ny fitaovana na rafitra jiro;fitambarana ara-drafitra amin'ny fitaovana jiro sy lahatsoratra hafa, raha tsy misy fepetra hafa, [7]
Mpamorona: Kumar Lalit (Carrollton) Mpiasa: Lennox Industries Inc. (Richardson) Biraon'ny lalàna: Winstead PC (tanàna + 2 hafa) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15565975 amin'ny 07/24/2017 (Fampiharana navoaka tao anatin'ny 1065 andro)
Abstract: Ny lafiny iray amin'ny fampahafantarana amin'izao fotoana izao dia manome terminal ho an'ny lafaoro.Ao amin'ny endrika iray, ny famaranana dia misy tontonana misy faritra setroka sy faritra famatsian-drivotra, ny tontonana manana ety anoloana ary mifanohitra amin'ny lafiny aoriana.Amin'ity endrika ity, ny terminal dia misy ampahany amin'ny terminal setroka miitatra avy any aoriana any amin'ny faritry ny setroka, ary ny ampahany amin'ny faran'ny setroka dia afaka mampifandray ny terminal amin'ny lakan-drano misy habe samihafa mifandraika amin'ny lafaoro.Amin'ity endrika ity, ny terminal dia misy fisokafana manitatra amin'ny tontonana ao amin'ny faritra fivoahana, ny fisokafana dia mifanandrify amin'ny faran'ny setroka.
[F24F] Fampidiran-drivotra;rivotra humidification;ventilation;fanivanana amin'ny sivana rivotra (esory ny vovoka na ny entona entona ao amin'ny faritra famokarana) B08B 15/00;fantsona mitsangana ho an'ny entona setroka avy amin'ny trano E04F 17/02;ho an'ny chimney Na ny tampon'ny fantsom-pamokarana rivotra;terminal F23L 17/02 ho an'ny fantsona)
Mpamorona: Allen Cocanougher (North Richland Hills), Robert Allen Cocanougher, Jr (North Richland Hills), Robert Allen Cocanougher, Old (North Richland Hills) Mpiasa: Wise Motor Works, Ltd .(North Ridgeland) Hills) Biraon'ny lalàna: Vos- IP, LLC (toerana tsy hita) laharan'ny fangatahana, daty, hafainganam-pandeha: 16448771 amin'ny 21 jona 2019 (mitaky fangatahana famoahana 368 andro)
Abstract: Motera fandoroana anatiny izay misy cylinders roa farafahakeliny mifandray tsy tapaka amin'ny alàlan'ny lohan'ny cylinder, ary ny tsorakazo mampifandray amin'ny cylinder iray dia offset avy amin'ny zoro voalohany refesina amin'ny crankshaft amin'ny 8 ka hatramin'ny 12 degre raha oharina amin'ny tsorakazo mampifandray amin'ny faharoa. cylinder.Ny cam Ny shaft dia manana offset faharoa izay ny antsasaky ny offset zoro voalohany.
[F02B] maotera piston fandoroana anatiny;motera fandoroana anatiny ankapobeny (ampiasaina amin'ny valva fampandehanana tsingerin'ny F01L; motera fandoroana anatiny F01M; ampiasaina amin'ny silencer na setroka F01N; motera fandoroana anatiny F01P; turbine F02C; motera mampiasa vokatra fandoroana F02C Factory, F02G)
Mpamorona: Christopher Crisafulli (Mansfield), Dipen K. Shah (Plano), James A. Bogusky (Svenksville, PA), Samuel Nash (Dallas) Mpiasa: Trinity Rail Group, LLC (Dallas) ) Firma lalàna: Baker Botts, LLP ( eo an-toerana + 6 faritra metropolitan hafa) laharana fangatahana, daty, hafainganam-pandeha: 16051085 amin'ny 31 Jolay 2018 (takina hamoahana 693 andro fangatahana)
Famintinana: Araka ny embodiments sasany, ny fivorian'ny adaptatera ho an'ny valva fivoahana ambany dia misy fitaovana namboarina hifanaraka amin'ny tsorakazo amin'ny valva fivoahana ambany amin'ny tram.Matetika ny tahon'ny valva fivoahana ambany dia mifanandrify amin'ny axe longitudinal an'ny tram.Ny fitaovana dia misy fampifandraisan-drivotra namboarina ho ampiarahina amin'ny tahon'ny valva fivoahana ambany sy fitaovana lehibe ampiarahina amin'ny fampifandraisana.Ny kojakoja fototra dia namboarina mba hifaneraserana amin'ny fikarakarana ireo fivoriambe miitatra avy amin'ny andaniny roa amin'ny tram.Ny fivorian'ny tantana dia namboarina mba hampandehanana ny valva fivoahana ambany.
Mpamorona: Chris Hill (Arlington) Mpiandraikitra: BSH Home Appliances (Owen, Kalifornia) Biraon'ny Lalàna: Tsy misy Laharan'ny fangatahana mpisolovava, daty, hafainganam-pandeha: 15158766 (daty famoahana) tamin'ny 19 Mey 2016 1496 andro))
Abstract: Fitaovana fandrahoan-tsakafo ao an-trano misy ny tafo fatana sy ny fandoroana entona eo amin'ny tampon'ny fatana.Ny fandoroana fototra entona dia ahitana: ampahany amin'ny fandoroana misy rindrina sisiny;ambonin`ny ambany manatrika ny cooktop;ny plurality ny burner seranan-tsambo amin'ny lafiny rindrina;ary ny fototra eo ambanin'ny ampahany burner.Ny fototra dia mampiakatra ny ampahany amin'ny fandoroana eo ambonin'ny tampon'ny mpahandro amin'ny lalana mitsangana, ary manana tandroka ambany kokoa omena eo ambonin'ny tampon'ny mpahandro.Ny faritry ny faritry ny fandrakofana amin'ny tampon'ny fametahana ambany dia kely kokoa noho ny faritry ny faritra fandrakofana amin'ny faritra ambany amin'ny ampahany burner.
Mpamorona: Sam Allen (Maypearl) Mpiasa: UNSSIGNED Law Office: No. Application Counsel No., Daty, Speed: 15897875 tamin'ny 02/15/2018 (navoaka nandritra ny 859 andro)
Abstract: Ny kabinetra fitehirizana rivotra dia misy rindrina roa sy rindrina aoriana mampifandray ny rindrina.Ny hamaroan'ny efitrefitra dia voafaritra eo anelanelan'ny rindrin'ny sisiny, ao anatin'izany farafaharatsiny ny efitrefitra ambony sy ambany.Ny plenum dia nalamina mifanakaiky amin'ny rindrina aoriana, ary ny plenum dia namboarina mba hifandraisana amin'ny rafitra HVAC efa misy.Farafahakeliny makarakara fanamoriana rivotra iray no entin'ny rindrina aoriana ary mifandray amin'ny ranon-javatra miaraka amin'ny iray farafahakeliny amin'ny efitrefitra sy ny plenum.Farafaharatsiny mpankafy mivezivezy dia nalamina ao amin'ny iray amin'ireo plurality ny efitrefitra mba hivezivezy rivotra avy amin'ny ventilation grill amin'ny alalan'ny plurality ny compartments.
[F26B] Esory ny ranon-javatra amin'ny zavatra maina na zavatra (fitaovana fanamainana amin'ny milina mitambatra A01D 41/133; vata fanamainana voankazo na legioma A01F 25/12; fanamainana sakafo A23; fanamainana volo A45D 20/00; fitaovana fanamainana vatana A47K 10/00; Kojakoja maina ao an-tokantrano A47L; Entona maina na etona B01D; Fomba simika na ara-batana ho an'ny tsy fahampian-drano na fanasarahana ranon-javatra amin'ny solids B01D 43/00; Fitaovana centrifugal B04; Ceramic maina C04B 33/30; Kofehy maina mifandray amin'ny zavatra sasany Kofehy na lamba fitsaboana hafa endrika D06C; fitoeran'entana fanamainana akanjo tsy misy fanafanana na fikorianan'ny rivotra tsara, fanamainana akanjo ao an-tokantrano na fanamainana, fanosihosena na akanjo mafana D06F; lafaoro, lafaoro, lafaoro F27)
Mpamorona: Colin Clara (Addison), Der-Kai Hung (Dallas), Eric Perez (Hickory Creek), Shawn Niemann (Prairie) Mpiandraikitra: Lennox Industries Inc. (Richardson) Biraon'ny lalàna: Baker Botts LLP (eo an-toerana + 8 hafa metropolitanina faritra ) Laharana fangatahana, daty, hafainganam-pandeha: 13600685 tamin'ny 31 aogositra 2012 (2853 andro famoahana fangatahana ilaina)
Abstract: Ny fitaovana fandrefesana dia afaka mifehy ny fikorianan'ny fluid amin'ny alàlan'ny valva.Ny rafitra fanaraha-maso dia afaka manova ny fanaraha-maso mandeha ho azy ny fitaovana fandrefesana.Amin'ny endrika sasany, mety hitranga ny hetsika efa voafaritra mialoha hanovana ny fanaraha-maso mandeha ho azy ny fitaovana fandrefesana.
[F25B] vata fampangatsiahana, zavamaniry na rafitra;rafitra fanafanana sy fampangatsiahana mitambatra;rafitra paompy hafanana (fifindran'ny hafanana, fifanakalozana hafanana na fitaovana fitehirizana hafanana, toy ny vata fampangatsiahana, na fitaovana miteraka hafanana na angovo mangatsiaka amin'ny alàlan'ny fihetsika simika fa tsy fandoroana) C09K 5/00;paompy, compressor F04;mampiasa paompy hafanana ho an'ny fanafanana trano na toerana na famatsian-drano mafana ao an-trano F24D;fanamafisam-peo, fanamafisam-peo F24F;mampiasa paompy hafanana F24H)
Rafitra sy fomba fanamboarana ny kojakojan'ny fiara araka ny fitsipika mifandraika amin'ny toerana misy ny fiara Patent No. 10688920
Mpamorona: Daniel Thomas Neubauer (Ann Arbor, Michigan) Mpiandraikitra: Toyota Motor Engineering Manufacturing North America, Inc. 2019 (fampiharana navoaka nandritra ny 515 andro)
Abstract: Manome rafitra ahafahan'ny mpamily manamboatra singa iray na maromaro amin'ny fiara, toy ny jiro.Ny rafitra dia afaka mamela ny mpampiasa hamaritra ny fihetsiky ny hazavana tsirairay ho setrin'ny toe-javatra na trigger sasany.Ny fanasokajiana dia mety ahitana ny fanehoana endrika sy loko efa voafaritra mialoha na namboarina, ary mety ahitana ny fanamboarana ny fotoana sy ny famirapiratan'ny jiro.Mba hahazoana antoka fa mifanaraka amin'ny lalàna iray na maromaro ny fanamboarana, dia afaka mamaritra ny toerana misy ny fiara ny rafitra.Ny rafitra dia afaka mampiasa ny toerana misy ny fiara mba hamaritana izay fitsipika sy fitsipika ary lalàna mihatra amin'ny fiara.Ny rafitra dia afaka mamaritra raha mifanaraka amin'ny fitsipika, fitsipika ary lalàna voafaritra ny fanamboarana.Raha mifanaraka ny customization, ny rafitra dia afaka mamela ny fiara fitaovana miasa araka ny customization.Raha tsy mankatò ny fanamboarana, dia azo ampitandremana ny mpamily ary/na azo amboarina mba hanarahana ny fanamboarana.
Mpamorona: Stephen Hodge (Plano) Mpiasa: Global Tel * Link Corporation (Reston, Virginia) Biraon'ny lalàna: Sterne, Kessler, Goldstein Fox PLLC (birao tsy an-toerana 2) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15491728 amin'ny 04/19/2017 (fampiharana 1161 andro)
Abstract: Ny fampahafantarana amin'izao fotoana izao dia miantefa amin'ny robots sy rafitra ary fomba fandrindrana ireo robots ho an'ny fanitsiana finday mba hanatanterahana asa isan-karazany amin'ny trano fanitsiana.Ny robots amin'ny fanitsiana finday dia azo ampiasaina amin'ny fanatanterahana asa maro natokana ho an'ny mpiambina ny trano fanitsiana mba hanampy amin'ny fampihenana ny isan'ny mpiambina ilaina amin'ny toerana fanitsiana rehetra.Rehefa miara-miasa eo amin'ny fitaovana fanitsiana finday marobe mba hanao asa, raha ampitahaina amin'ny fahombiazan'ny robots rehefa tsy miasa ao anatin'ny fandrindrana izy ireo, dia azo ampiasaina ny fanaraha-maso foibe mba handrindrana ny asan'ny robots maro mba hanatsarana ny fahombiazan'ny rafitra robot manontolo.Miasa mafy amin'ny asa.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Rafitra hydraulika mampiasa ny lalana acoustic mivantana amin'ny fandrefesana ny fikorianan'ny ultrasonic Patent No. 10690530
Mpamorona: Hans Martin Hilbig (Tiefenbach, Alemaina), Johann Reinhold Zipperer (Unterschleissheim, Alemaina), Peter Wongeun Chung (Frisco) Mpiandraikitra: TEXAS INSTRUMENTS INCORPORATED (Dallas) Orinasam-panjakana: Tsy nisy mpisolovava nampiharina., Daty, hafainganam-pandeha: 15465983 tamin'ny 22 martsa 2017 (1189 andro nanaovana fangatahana)
Abstract: Famaritana ny fikorianan'ny ranon-javatra mandalo amin'ny lakandrano iray.Ny metatra mikoriana dia ahitana vatana ambony misy efitra fidirana, fantsona fanamafisam-peo, efitrano fivoahana, mpamokatra onjam-peo ary mpandray onjam-peo.Ny efitrano fidirana, ny fantsona fanamafisam-peo ary ny efitrano fivoahana dia mifamatotra tsara ary miompana amin'ny famolavolana lalan'ny fluid symmetrika amin'ny alàlan'ny efitrano fidirana, ny fantsona acoustic ary ny efitrano fivoahana.Ny mpamokatra onjam-peo sy ny mpandray onjam-peo dia mifanandrify amin'ny axe longitudinal amin'ny fantsona fanamafisam-peo, ary rehefa mikoriana amin'ny lavaka fanamafisam-peo ny ranon-javatra, dia mamoaka onjam-peo mandeha amin'ny axe longitudinal amin'ny fantsona feo ny mpamokatra onjam-peo.Ny mpandray dia mahita onjam-peo nivezivezy tamin'ny fantsom-peo, ary io fampahalalana io dia ampiasaina hamaritana ny tahan'ny fikorianan'ny fluid amin'ny alàlan'ny metatra.
Mpamorona: Ira Oaktree Wygant (Palo Alto, Kalifornia), Mohammad Hadi Motieian Najar (Santa Clara, Kalifornia) Mpiandraikitra: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny Lalàna: Tsy Misy Laharan'ny Fangatahana Mpisolovava, Daty, Haingana: 16268168 Tamin'ny 02/05/2019 ( navoaka nandritra ny 504 andro ny fangatahana)
Abstract: Ny fitaovana fanerena sensor fanerena ohatra dia ahitana efitrano fanerena izay handaminana ny sensor fanerena voalohany.Iray na maromaro sensor voalohany hamaritana ny sandan'ny capacitance avy amin'ny sensor fanerena voalohany araka ny fitsapana ara-batana natao tamin'ny sensor fanerena voalohany;sensor iray na maromaro voalohany hamaritana ny sandan'ny capacitance avy amin'ny sensor fanerena voalohany araka ny sensor fanerena voalohany natao tamin'ny sensor fanerena voalohany Ny fitsapana elektrônika dia mamaritra ny sandan'ny fisintonana voalohany;ny correlator dia mamaritra mifototra amin'ny sandan'ny capacitance voafaritra nandritra ny fitsapana ara-batana amin'ny sensor fanerena voalohany sy ny sanda malefaka misintona voalohany voafaritra nandritra ny fitsapana elektrika voalohany amin'ny sensor fanerena voalohany Sanda coefficient correlation;calibrator amin'ny famaritana ny sandan'ny kalibration coefficient mba hanamafisana ny sensor fanerena faharoa mifototra amin'ny sanda coefficient correlation sy ny fitsapana elektrika faharoa amin'ny sensor fanerena faharoa.
[G01L] Fandrefesana hery, adin-tsaina, torque, asa, hery mekanika, fahombiazan'ny mekanika na fanerena ranon-javatra (lanja G01G) [4]
Circuit Integrated amin'ny seranan-tsambo JTAG, TAP rohy Module ary off-chip TAP interface seranan-tsambo Patent No. 10690720
Mpamorona: Lee D. Whessel (Parker) Mpiasa: Texas Instruments (Dallas) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 16022104 amin'ny 28 Jona 2018 (726 andro hamoahana)
Abstract: Ny IC dia ahitana ny IEEE 1149.1 standard test access port (TAP) interface ary fanampiny off-chip TAP interface.Ny interface TAP off-chip dia mifandray amin'ny TAP an'ny IC hafa.Ny interface TAP off-chip dia azo fidina amin'ny alàlan'ny maody rohy TAP amin'ny IC.
[G01R] Fandrefesana ny fari-piainana elektrika;fandrefesana fari-pahalalana andriamby (manondro ny fanitsiana marina amin'ny fizaran-tarehimarika H03J 3/12)
Mpamorona: Baher S. Haroun (Allen), David P. Magee (Allen), Nirmal C. Warke (Saratoga, Californie) Mpiandraikitra: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy mpisolovava mampihatra Laharana, daty, hafainganam-pandeha: 15484975 amin'ny Aprily 11, 2017 (1169 andro navoaka ny fangatahana)
Famintinana: Ny ohatra voalaza dia ahitana circuit mitambatra izay misy encoder namboarina hanovana ny famantarana mitondra fiara ho an'ny émetteur optique miaraka amin'ny plurality pulses voakodia mifanandrify amin'ny kaody, izay ny famantarana mitondra fiara dia alefa ara-nofo any amin'ny emitter hazavana.Ny circuit Integrated koa dia ahitana demodulator namboarina handray ny mari-pamantarana azo avy amin'ny mpandray optika, ny mpandray optika natsangana mba handraisana ny taratry ny hazavana avoakan'ny mpandefa optika amin'ny zavatra, ny demodulator Izy io dia namboarina mba hanavaka ny pulses code maromaro ao amin'ny nahazo famantarana ary manombana ny halaviran'ilay zavatra.
[G01S] Fahitana torolalana amin'ny radio;radio navigation;mampiasa onjam-peo hamantarana ny halavirana na ny hafainganam-pandeha;mampiasa ny taratra na taratra onjam-peo mba hitadiavana na hamantarana ny toerana misy azy;mampiasa onja hafa amin'ny fandaharana ANALOGOUS
Mpamorona: Debasish Banerjee (Ann Arbor, Michigan), Masahiko Ishii (Tanànan'i Okazaki, Japon), Zhang Minjuan (Ann Arbor, Michigan) Mpiasa: Toyota Motor Engineering Manufacturing North America Co., Ltd. (Plano) Orinasa mpahay lalàna : Dinsmore Shohl LLP (birao tsy an-toerana 14) laharana fangatahana, daty, hafainganam-pandeha: 13913402 tamin'ny 6 aogositra 2013 (mila avoaka mandritra ny 2572 andro ny fangatahana)
Abstract: Manome rafitra maro sosona chroma avo miaraka amin'ny loko ara-drafitra omnidirectional.Ny rafitra dia ahitana stack multi-sosona manana sosona fototra, sosona dielectric manitatra manerana ny sosona fototra, ary sosona absorber manitatra manerana ny sosona dielectric.Misy fifandraisana eo amin'ny sosona dielectric sy ny sosona absorption, ary amin'ity interface ity dia misy saha elektrika manakaiky ny aotra ho an'ny onjam-peo elektromagnetika voalohany.Ankoatr'izay, misy saha elektrika lehibe amin'ny onjam-pamokarana elektromagnetika faharoa amin'ny interface.Amin'izany fomba izany, ny interface dia mamela ny fifindran'ny avo amin'ny tranga voalohany amin'ny halavan'ny onjam-peo elektromagnetika sy ny fisondrotana avo amin'ny halavan'ny onjam-peo elektromagnetika tranga faharoa, ka ny stack multilayer dia mamokatra tarika hazavana hita taratra tery.
[G02B] Ny singa, ny rafitra na ny fitaovana optika ( laharam-pahamehana G02F; singa optika natokana ho an'ny fitaovana jiro na rafitra F21V 1 / 00-F21V 13/00; fitaovana fandrefesana, azafady mba jereo ny sokajy mifandraika amin'ny sokajy G01, toy ny elanelana optika G01C; singa optika, rafitra na fitaovana fitiliana; G01M 11/00; solomaso G02C; fitaovana na fitaovana hakana sary na projection na fijerena azy ireo; G03B; lens acoustic G10K 11/30; elektronika sy ion "optical" H01J; X-ray "Optics" H01J, H05G 1/00; Ny singa optika mitambatra amin'ny fantsona fivoahana amin'ny rafitra H01J 5/16, H01J 29/89, H01J 37/22; Microwave "Optics" H01Q; Ny singa optika sy ny mpandray TV H04N Ny fitambaran'ny 5/ 72; ny fitaovana H04N 9/00 ao amin'ny rafitra optika na ny rafitra TV miloko; ny fitaovana fanafanana H05B 3/84 ho an'ny faritra mangarahara na taratra)[7]
Mpamorona: Henry Yao (Santa Clara, Kalifornia), Sinjeet Dhanvantray Parekh (San Jose, Kalifornia) Mpiandraikitra: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 16403774, 2005/06/2019 (414 andro) navoaka ny fampiharana)
Abstract: Circuit converter time-to-digital misy vavahady lojika mitambatra mba handraisana famantarana trigger voalohany manondro famantarana famantaranandro voalohany sy famantarana famantarana faharoa manondro famantarana famantaranandro faharoa.Ny vavahadin'ny lojika dia hamokatra famantarana fivoahana vavahady lojika ho setrin'ny famantarana voalohany amin'ny trigger voalohany na faharoa izay avo lenta.Misy circuit synchronization izay ampiarahina amin'ny vavahadin'ny lojika ary namboarina mba hampifanaraka ny famantarana ny vavahadin'ny logic amin'ny famantaranandro fahatelo mba hamoronana famantarana famoahana synchronization.Ny circuit counter dia manisa ny pulses amin'ny signal output synchronous.
[G04F] Fandrefesana elanelam-potoana (fandrefesana ny toetran'ny pulse G01R, toy ny G01R 29/02; G01S amin'ny radara na rafitra mitovy amin'izany; masers H01S 1/00; miteraka oscillation H03B; miteraka na manisa pulses, mizara amin'ny H03K; Analog/digital general Convert H03M 1/00) [2]
Mpamorona: Sung Kyun Kim (Bedford) Mpiandraikitra: TEXTRON INNOVATIONS, INC. (Provence, RI) Biraon'ny lalàna: Slater Matsil, LLP (tanàna + 1 hafa) laharana fampiharana, daty, hafainganam-pandeha: 16108479 nanomboka ny 22 aogositra 2018 (671 andro) aorian'ny famoahana ny fangatahana)
Abstract: Araka ny embodiment iray, ny fomba fiasan'ny rotorcraft dia ahitana: rehefa mihoatra ny tokonam-baravaran'ny hafainganam-pandeha voalohany ny hafainganam-pandehan'ny rotorcraft, dia miova avy amin'ny fomba voalohany mankany amin'ny fomba faharoa.Ny fifindrana eo amin'ny fomba voalohany sy ny maody faharoa dia ahitana ny famotehana ny tombony azo amin'ny fanaraha-maso mavitrika mandritra ny vanim-potoana voalohany, ary ny fampihenana ny sandan'ny mpampitambatra ny mpanara-maso mavitrika mandritra ny vanim-potoana faharoa.
[G05D] Rafitra iray ampiasaina hifehezana na hanitsiana ireo fari-pahalalana tsy elektrika (ampiasaina amin'ny fanariana tsy tapaka ny vy B22D 11/16; ny valva mihitsy dia F16K; mba hahitana ny fari-pahalalana tsy elektrika, azafady mba jereo ny sokajy mifandraika amin'ny G01; ampiasaina amin'ny amboary ny Variable elektrika na magnetika G05F)
Mpamorona: Dimitar Trifonov Trifonov (Vail, Arizona) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny Lalàna: Tsy misy Laharan'ny Fampiharana Mpisolovava, Daty, Haingana: 15691957, Daty famoahana: 08/31/2017 (1027 andro aorian'ny famoahana ny fangatahana ))
Abstract: Ny embodiment dia mifandraika amin'ny faritra misy ny sampana fizaran-tany voalohany, ny sampana fizaran-tany faharoa ary ny faritra integrator.Ny sampana voalohany dia ahitana transistor voalohany sy loharano voalohany amin'izao fotoana izao hamokatra famantarana voalohany amin'ny CTAT, ny famantarana malefaka CTAT voalohany ao anatin'izany ny singa mifanaraka amin'ny fototry ny parasitika sy ny fanoherana ny emitter amin'ny transistor voalohany.Ny sampana faharoa dia misy transistor faharoa sy loharano faharoa amin'izao fotoana izao mba hamoronana famantarana malefaka CTAT faharoa, ny famantarana malefaka CTAT faharoa ao anatin'izany ny singa mifanaraka amin'ny fototry ny parasitika sy ny fanoherana ny emitter amin'ny transistor faharoa.Ny sampana fizaran-tany voalohany sy faharoa dia ampifandraisina amin'ny circuit integrator ka ny circuit integrator dia mampiditra ny fahasamihafana misy eo amin'ny famantarana malefaka CTAT voalohany sy faharoa ka ny famantarana mitambatra dia tsy ahitana singa mifandraika amin'ny fototry ny parasitika sy ny fanoherana emitter.
[G01K] Fandrefesana ny mari-pana;fandrefesana hafanana;Ny singa mafana hafa dia tsy mbola nomena (fomba hafanana avo taratra G01J 5/00)
Mpamorona: Damien X. Panketh (Euless) Mpiasa: ACCENTURE GLOBAL SERVICES LIMITED (Dublin, IE) Biraon'ny lalàna: Brinks Gilson Lione (birao tsy an-toerana 7) Laharan'ny fangatahana, daty, hafainganam-pandeha: 14838135 Aogositra 2015 faha-27 (fampiharana azo avoaka tao anatin'ny 1762 andro)
Abstract: Ny rafitra an-dakilasy mifandray dia manome fanaraha-maso eo an-toerana sy lavitra ary fampisehoana ireo stream media.Ohatra, ny mpampianatra dia afaka mitarika ny onjam-peo/vidin'ny mpampianatra amin'ny fampirantiana sy mpandahateny maromaro ao an-dakilasy.Ny rafitra ihany koa dia afaka mandefa toromarika fanaraha-maso sy mandray torolalana fanaraha-maso avy amin'ny efitrano fianarana lavitra amin'ny alàlan'ny fifandraisana amin'ny tambajotra.Ny torolalan'ny fanaraha-maso dia afaka manamboatra izay fitaovana famelabelarana ao amin'ny efitrano fianarana rehetra mba hamoahana votoaty avy amin'ny loharano rehetra ao amin'ny efitrano fianarana rehetra.Noho izany, ny efitrano fianarana marobe any amin'ny toerana samihafa dia afaka mandray anjara amin'ny fifandraisana amin'ny haino aman-jery nateraky ny loharano rehetra, anisan'izany ny toeran'ny mpampianatra sy ny mpianatra tsirairay ao an-dakilasy.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Ny fitaovana azo zahana dia manome valin-kevitra hita maso mba handanjalanjana ny fifandraisana misy eo amin'ny fanambarana fanokafana sy famaranana ny rafitra fandaharana ary ny haavon'ny akany.Laharana Patent 10691422
Mpamorona: Frederick Conrad Fotsch (Dallas) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny Lalàna: Tsy misy Laharana fampiharana ny Torohevitra, Daty, Hafainganam-pandeha: 15927652 tamin'ny 03/21/2018 (825 andro navoaka ny fangatahana)
Abstract: Manome fanehoan-kevitra hita maso izay mampifandanja ny ambaratongan'ny firafitry ny programa amin'ny fampidirana programa amin'ny fitaovana azo programa miaraka amin'ny processeur, fitadidiana fampianarana, fitaovana fampidiran-dresaka, ary efijery fampisehoana misy sisiny havia.Ao anatin'ny fandaharana iray dia misy fanambarana fanokafana rafitra fanaraha-maso roa farafahakeliny voaray, ny fanambarana tsirairay dia manana rafitra fanaraha-maso mifandray.Ny solontena tokana dia omena ny tsirairay amin'ireo rafitra fanaraha-maso roa farafahakeliny.Ny fanambarana fanokafana rafitra fanaraha-maso dia aseho amin'ny fanehoana tokana nomena ny rafitra fanaraha-maso mifanaraka amin'izany, manomboka amin'ny toerana mitovy amin'ny sisiny havia amin'ny fampiratiana.Raha kely indrindra dia misy filaharana fanakatonana rafitra fanaraha-maso roa, ary ny filaharana fanakatonana rafitra fanaraha-maso tsirairay dia mifandray amin'ny rafitra fanaraha-maso.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Alan Gatherer (Richardson), Ashish Rai Shrivastava (Plano), Sushma Wokhlu (Frisco) Mpiandraikitra: Futurewei Technologies, Inc. (Plano) Orinasa mpahay lalàna: Slater Matsil, LLP (Eo an-toerana + tanàna 1 hafa) Laharana fampiharana, daty, hafainganam-pandeha : 15220667 tamin'ny 26 Jolay 2016 (1428 andro ny fangatahana)
Famintinana: Rafitra sy fomba iray ho an'ny rafitry ny fantsona miovaova, ao anatin'izany ny sakana fitadidiana hita ao amin'ny banky fitadidiana, node informatika iray na maromaro mamorona fantsona fampianarana vector ho an'ny fanatanterahana asa, ary ny node informatika tsirairay ao amin'ny banky fahatsiarovana , Ny node informatika tsirairay dia mahaleo tena. amin'ireo node informatika hafa mba hanatanterahana ny ampahany amin'ny asa, ary ny vondrona mpanara-maso ny programa eran-tany (GPCU) izay mamorona ny fantsona fampianarana scalar ho an'ny fanatanterahana ny asa, ny GPCU dia namboarina mba handamina asa amin'ny kajy iray na maromaro Node, ny GPCU dia namboarina ihany koa mba omeo ny node computing tsirairay ny adiresin'ny bloc fitehirizana ampiasain'ny node computing tsirairay.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Hoang Do (Plano) Mpiandraikitra: Telefonaktiebolaget LM Ericsson (Mpanonta) (Stockholm, Atsimo-Atsinanana) Orinasa Mpahay lalàna: Nicolson, De Vos, Webster Elliott, LLP (toerana tsy hita) Laharana fampiharana, daty, hafainganam-pandeha: 2018 Septambra 9, 2015 15893406 (865 andro fampiharana)
Famintinana: Fomba iray ampiharina amin'ny alàlan'ny fitaovana informatika amin'ny fanamboarana sy fanaraha-maso ny fampiharana virtoaly ao anaty tontolo rahona.Ny fomba dia ahitana ny famokarana toromarika ho an'ny configuring sy ny fanaraha-maso ny virtoaly fampiharana mifototra amin'ny config data ho an'ny virtoaly fampiharana, ary ny fanovana ny injected virtoaly milina (VM) sary mba hampiditra toromarika ho an'ny configuring sy ny fanaraha-maso ny virtoaly fampiharana, izay natsindrona VM sary dia môdely.Izy io dia ampiasaina amin'ny fametrahana ny VM voatsindrona mba handrindrana sy hanaraha-maso ny fampiharana virtoaly araka ny torolàlana, hanovana ny famaritana ny fametrahana fampiharana virtoaly amin'ny fampiharana virtoaly mba hanondroana fa ny VM voatsindrona dia ampidirina ao amin'ny fampiharana virtoaly, ary mahatonga ny fampiharana virtoaly, ampiasaina miaraka amin'ny VM voatsindrona, dia hapetraka ao amin'ny tontolon'ny rahona amin'ny alàlan'ny fanoritsoritana fandefasana fampiharana virtoaly novaina.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Gopi Kancharla (Frisco) Mpiasa: Capital One Services, LLC (McLean, Virginia) Biraon'ny lalàna: Harrity Harrity, LLP (birao tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16390417, 04/22/2019 (navoaka ny fangatahana ao anatin'ny 428 andro)
Famintinana: Ny fitaovana dia mety hahazo andiana hafatra fitepon'ny fo.Ny fitambaran'ny hafatra fitepon'ny fo dia mety mifandray amin'ny famaritana ny laharam-pahamehana mifanandrify amin'ny fitambaran'ny node informatika ho an'ny fanodinana ny andiana asa.Ny fitaovana dia mety hamantatra hafatra fitepon'ny fo ao anaty andiana hafatra fitepon'ny fo, ny hafatra fitepon'ny fo dia mifandray amin'ny offset ambany indrindra raha oharina amin'ny offset mifandray amin'ny hafatra fitepon'ny fo hafa ao anatin'ireo hafatra fitepon'ny fo.Ny fitaovana dia mety hamaritra ny laharam-pahamehana mifanandrify amin'ny fitambaran'ny node informatika mifototra amin'ny anton-javatra iray na maromaro mifandraika amin'ny fitambaran'ny node informatika na ireo hafatra fitepon'ny fo.Ny fitaovana dia mety hamaritra raha hanatanteraka ny ampahany amin'ny asa napetraka mifototra amin'ny laharam-pahamehana mifanaraka amin'ny rafitra node informatika.Ny fitaovana dia afaka manao andiana hetsika rehefa avy namaritra na hanao ampahany amin'ny andiana asa.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Cary Pillers (Richardson) Mpiasa: Nielsen Company (US), LLC (New York, New York) Fikambanan'ny lalàna: Hanley, Flight Zimmerman, LLC (birao tsy an-toerana 1) Laharan'ny fangatahana, daty, hafainganam-pandeha: 2018 16120119 amin'ny Aogositra 31, 2016 (navoaka nandritra ny 662 andro ny fangatahana)
Abstract: Misy fomba iray sy fitaovana iray hamantarana ny fandefasana metatra amin'ny fitaovana.Ny fitaovana ohatra dia ahitana fitaovana iray hamantarana fa tokony esorina amin'ny fitaovana finday ny fampiharana iray, ny fampiharana manangona fampahalalana momba ny satan'ny fitaovana ho an'ny fitiliana mba hahazoana antoka fa napetraka ny fitaovana ho an'ny fitiliana, ary ny fampiharana mandefa fampahalalana momba ny sata amin'ny mpanangona angona. ..Ny fitaovana dia ahitana fitaovana iray hanehoana bitsika momba ny fanesorana ny fitaovana ho hitan'ny maso rehefa esorina ny fampiharana iray, ary fitaovana iray hanehoana ny torolalana ny mpitantana ny fonosana mba hanesorana ilay fitaovana ho hitan'ny finday.Ny fitaovana dia ahitana fitaovana handefasana fampandrenesana fanesorana amin'ny mpanangona angona rehefa ho esorina ny fampiharana, ny fampandrenesana fanesorana izay ahafahana mamantatra ireo panelista mifandray amin'ny fitaovana finday.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Xu Ruo ((Carrollton), Steve Young (Carrollton) Mpiasa: UNSSIGNED Law Firm: No. Application Counsel, Date, Speed: 15938764 tamin'ny 03/28/2018 ( 818 andro hivoaka)
Abstract: Ny rafitra fiovam-po amin'ny angona dia ahitana mpizara iray misy tahiry ho an'ny fitehirizana ny rakitra amin'ny ordinatera (CAD).Ny rakitra CAD tsirairay dia voatondro amin'ny adiresy misy laharan'ny tsingerina.Ny laharan'ny tsingerina dia mifandray amin'ilay zavatra voatondro;solosaina voalohany misy fampisehoana;toeram-piasana fanampiny misy solosaina faharoa;Ny programa dia manana programa hanovana ny iray amin'ny plurality ny rakitra CAD ho sary mavitrika, ny programa dia manana Module voalohany hamokarana rakitra fampitoviana ho an'ny fiovam-po amin'ny rakitra binary.Ny môdely faharoa dia mamorona rakitra grafika mavitrika;manetsika ny programa avy amin'ny solosaina voalohany ary mamadika ireo rakitra CAD voafantina ho rakitra binary sy rakitra grafika mavitrika;ny rakitra binary dia azo alaina amin'ny rafitra fanaraha-maso indostrialy ary azo vakiana amin'ny solosaina faharoa.Ny solosaina faharoa dia mampiseho fisie grafika mavitrika toy ny sary mihetsiketsika ho an'ny fanaraha-maso amin'ny fotoana tena izy amin'ny toeram-piasana fanampiny.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Fanasokajiana sy fampahafantarana ny zava-mitranga amin'ny rafitra fiteny voajanahary, laharan'ny patanty 10691698
Mpamorona: Swaminathan Chandrasekaran (Corper) Mpiasa: International Business Machines Corporation (Amonk, NY) Law Firm: Terrile, Cannatti Chambers, LLP (1 birao tsy eo an-toerana) Laharana fampiharana, daty, hafainganam-pandeha: 14534258 6 Novambra 2014 (Avoahy ny fampiharana mandritra ny 2056 andro)
Abstract: Manome fomba faminaniany ho azy ny fisehoan-javatra mifototra amin'ny fanontaniana avy amin'ny mpampiasa farany (natolotra amin'ny fampiasana famakafakana momba ny fanodinana ny fiteny voajanahary (NLP) akaiky ny fotoana tena izy), amin'izany dia miteraka, manasokajy ary manasokajy hetsika marobe mifototra amin'ny zava-mitranga maro. nalaina avy amin'ny fanontaniana, masontsivana mombamomba ny mpampiasa iray na maromaro an'ny mpampiasa farany, ary misy fanontaniana, valiny, ary zava-nitranga ara-tantara iray na maromaro.Ireo fanontaniana ara-tantara, valiny ary zava-nitranga ary fanontaniana dia manana toerana voatondro sy/na akaiky ny fotoana, io fampahalalana io dia karakarain'ny rafitra famantarana ny fampahalalana.Amin'ity fomba ity, tanterahin'ny rafitra fanodinana fampahalalana, ny fisehoan-javatra misy laharana ambony indrindra dia voafantina avy amin'ireo fisehoan-javatra voalahatra amin'ny hetsika maro mba hampidirina ao amin'ny hafatra fampahafantarana, izay ampitaina na alefa amin'ny mpampiasa farany sy ny fampahalalana hafa mifandraika amin'izany.Ny mpampiasa no mitantana ny rafitra sy/na ireo mpamaly voalohany ao amin'ny faritra voakasika.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Rafitra ampiasaina amin'ny ordinatera sy fomba hamantarana ireo fanjakana tsy voasedra amin'ny endrika elektronika, laharana patanty 10691857
Mpamorona: Felicia James (Carrollton), Michael Krasnicki (Richardson) Mpiasa: Zipalog, Inc.
Abstract: Vokatra fandaharana amin'ny informatika miforona amin'ny fitaovana ampiasaina amin'ny ordinatera tsy mandalo izay misy toromarika maromaro izay mahatonga ny processeur iray farafahakeliny hanatanteraka fomba iray hamantarana ny toetry ny endrika elektronika.Ny solosaina dia mahazo fanehoana azo vakiana amin'ny ordinatera momba ny endrika elektronika, ny endrika elektronika manana ampahany analog amin'ny ampahany kely amin'ny endrika elektronika.Farafaharatsiny, netlist misy fitaovana iray no vokarina mifototra amin'ny ampahany amin'ny fanehoana ny endrika elektronika.Farafahakeliny ny fepetra iray amin'ny famolavolana elektronika dia azo ihany koa.Farafahakeliny andiana fanjakana manan-kery iray no amboarina mifototra amin'ny famaritana iray farafahakeliny.Miaraka amin'ny isa kely indrindra amin'ny véctor fampidirana iray, fara fahakeliny, lisitra iray misy fitaovana ampiasaina amin'ny ambaratongan'ny fitondran-tena amin'ny endrika elektronika.Farafahakeliny ny tantaran'ny fandrakofana fanamarinana iray amin'ny endrika elektronika no avoaka mifototra amin'ny ampahany amin'ny simulation.Mifototra amin'ny ampahany farafaharatsiny amin'ny famaritana iray farafahakeliny, ny netlist iray misy fitaovana fara-fahakeliny, ny fitambaran'ny fanjakana manan-kery iray farafahakeliny, ary ny tantaran'ny fandrakofana fanamarinana iray farafahakeliny mba hamantarana ireo fanjakana tsy voasedra.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Ny rafitra famakiana barcode dia afaka manitsy ho azy ny vokatra sasany araka ny toetran'ny tontolo iainana.Patent No. 10691906
Mpamorona: Hong Ji (Prairie) Mpiasa: The Code Corporation (Murray, Utah) Biraon'ny lalàna: Ray Quinney Nebeker (birao 1 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16234322 (12/27) / 2018 (Navoaka ny fampiharana ho an'ny 544 andro)
Abstract: Ny rafi-pamakiana barcode dia mety ahitana mpamaky barcode ary fara-fahakeliny detector iray voarindra hamantatra ny toetran'ny tontolo misy ny rafitra famakiana barcode.Ny rafi-pamakiana barcode dia mety ahitana ihany koa ny fanaraha-maso namboarina hanitsy ny fivoahana iray farafahakeliny amin'ny rafitra famakiana barcode mifototra amin'ny toetra iray hita fara-fahakeliny.
[G06K] Famantarana data;fanehoana angona;mpitatitra firaketana;mpitatitra firaketana fanodinana (voatonta B41J mihitsy)
Fomba fampiasana teknolojia saron-tava optika hanatsarana ny fahamarinan'ny fakantsary fifamoivoizana Patent No. 10691957
Mpamorona: Michael Cole Hutchison (Plano), Staci Marlea Ingram (Arlington) Mpiandraikitra: ITS Plus, Inc. (Plano) Biraon'ny lalàna: Yee Associates, PC (tanàna + 1 hafa) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15/12/ 893 (12 Desambra 2018) (862 andro nanaovana fangatahana)
Famintinana: Fomba iray hitantanana ny fifamoivoizana.Ny fomba dia ahitana ny fandraisana sary misy fikorianan'ny fiara amin'ny fakantsary.Tafiditra ao anatin'io fomba io ihany koa ny fampiasana ny processeur amin'ny fanodinana ny sary mba hanakona faritra amin'ny sary izay mihoatra ny isan'ny candela efa voafaritra mialoha.Ny fomba dia ahitana ihany koa ny fampiasana ny processeur mba hamakafaka ny sary avy eo mba hamaritana masontsivana mifandray amin'ny fiara, amin'izany manao ny fanadihadiana.Tafiditra ao anatin’ny fomba fiasa ihany koa ny fitantanana ny fifamoivoizana mifototra amin’ny fanadihadiana.
[G06K] Famantarana data;fanehoana angona;mpitatitra firaketana;mpitatitra firaketana fanodinana (voatonta B41J mihitsy)
Mpamorona: Danil V. Prokhorov (Cancun, Michigan), Li Guanghui (Ann Arbor, Michigan), Naoki Nagasaka (Ann Arbor, Michigan), Xuemei (Ann Arbor, Michigan) nomena anarana: Toyota Motor North American Engineering Manufacturing Co., Ltd. (Plano) Biraon'ny lalàna: Darrow Mustafa PC (birao tsy an-toerana 2) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15713491 tamin'ny 22 septambra 2017 (1005 andro hamoahana ny fangatahana)
Abstract: Ny rafitra, ny fomba, ary ny endrika hafa voalaza eto dia ahitana ny famantarana ny aoriana amin'ny fiara eo akaiky eo.Amin'ny endrika iray, ny fomba iray dia ahitana: ho setrin'ny fitadiavana fiara eo akaiky eo, maka sary famantarana ny ao ambadiky ny fiara eo akaiky eo.Tafiditra ao anatin'ny fomba fiasa ny kajy ny toetry ny frein'ny jiron'ny fiara eo akaiky eo amin'ny alàlan'ny famakafakana ny sary famantarana araka ny fanasokajiana braking, ny toetry ny frein manondro raha miasa amin'izao fotoana izao ny jiro frein.Tafiditra ao anatin'ny fomba fiasa ny kajy ny toetry ny fihodinan'ny famantarana fihodinana any aoriana amin'ny fiara eo akaiky eo amin'ny alàlan'ny famakafakana ny faritra mahaliana avy amin'ny sary famantarana araka ny fanasokajiana mihodina, ny toetry ny fihodinana manondro hoe iza amin'ireo famantarana mihodina no miasa amin'izao fotoana izao.Ny fanasokajiana ny frein sy ny fanasokajiana fihodinana dia ahitana tambajotra neural convolutional sy tamba-jotra neural miverimberina maharitra (LSTM-RNN).Ny fomba dia ahitana ny fanomezana vokatra elektronika izay mahafantatra ny toetry ny braking sy ny fihodinana.
[G06K] Famantarana data;fanehoana angona;mpitatitra firaketana;mpitatitra firaketana fanodinana (voatonta B41J mihitsy)
Rafitra sy fomba fiasa mifototra amin'ny informatika mifandraika amin'ny fanamarinana biometrika, ampiasaina amin'ny fiatrehana ny fanavahana, ahafahana sy manafoana ary/na manao asa hafa.Patent No. 10691991
Mpamorona: Michael Bailey (Dallas) Mpiasa: Capital One Services, LLC (McLean, Virginia) Biraon'ny lalàna: Greenberg Traurig, LLP (birao tsy eo an-toerana 14) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16731624 12/31/2019 (175 andro taloha) fampiharana)
Famintinana: Nambara ny rafitra sy ny fomba fanamarinana biometrika mifandraika amin'ny carte de transaction, ao anatin'izany ny fikarakarana manokana, ny fanafoanana ary ny endri-javatra hafa.Amin'ny endrika iray, ny fomba fakan-tahaka ampiasaina amin'ny ordinatera dia mety ahitana ny famoronana interface tsara ho an'ny mpampiasa voalohany manana singa UI interactive izay ahafahan'ny tompon'ny karatra mitantana endri-javatra maro mifandraika amin'ny karatra fifanakalozana;Ary mba hamaritana raha azo atao ny fanaraha-maso ny fampahavitrihana biolojika, ary raha manana hetsika biolojika ny karatra fifanakalozana, dia tsy ho voahilika amin'ny fanaraha-maso ny fampahavitrihana biolojika ny mpamatsy.Fanampin'izany, ny hamaroan'ny singa UI interactive dia mety ahitana: singa UI voalohany namboarina mba ahafahan'ny tompon'ny karatra ahafahan'ny fanaraha-maso ny fampahavitrihana biometrika ny karatra fifanakalozana;ary singa UI faharoa namboarina hamela ny tompon'ny karatra hanilika iray na mihoatra amin'ny mpamatsy fanaraha-maso fampahavitrihana biometrika.
[G06K] Famantarana data;fanehoana angona;mpitatitra firaketana;mpitatitra firaketana fanodinana (voatonta B41J mihitsy)
Mpamorona: Claudia Jean Morrow (Murphy), Jennifer Marie Pulliam (Dallas), Samudra Sen (Lewisville) Mpiasa: Texas Energy Retail Company LLC (Owen) Law Firm: Baker Botts LLP (tanàna + 8 hafa) ) Laharana fampiharana, daty, hafainganam-pandeha: 14992508 tamin'ny 11 Janoary 2016 (1625 andro ny fangatahana dia mila avoaka)
Abstract: Ny interface dia mahazo angon-drakitra momba ny fividianana, izay ahitana ny angona azo avy amin'ny fitaovana automatique an-trano.Ny processeur dia mampihatra ny fitsipiky ny fampandrosoana vinavina amin'ny angon-drakitra momba ny fividianana.Ny processeur dia mamaritra ny tolo-kevitry ny fividianana herinaratra sy ny tolo-kevitry ny fividianana herinaratra mifototra amin'ny angon-drakitra momba ny fampiasana angovo nodinihina sy ny fitsipika momba ny fampandrosoana.Rehefa voafaritra ny tolo-kevitry ny fividianana herinaratra dia hampita ny tolo-kevitry ny fividianana herinaratra amin'ny mpamatsy herinaratra ny interface.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Abhay Dabholkar (Allen), Alfonso Jones (Owen), Anoop Viswanath (Plano), Brad Ford (Wylie), Christopher Tsai (Plano) Mpiasa: ATT INTELLECTUAL PROPERTY I, LP (Georgia Atlanta) Orinasam-panjakana: Scott P. Zimmerman , PLLC (6 birao tsy eo an-toerana) laharana fampiharana, daty, hafainganam-pandeha: 16115644 amin'ny 29 aogositra 2018 (664 andro hamoahana)
Famintinana: Afaka maminavina ny fikojakojana fiara ny fampiasana angon-drakitra telematika tena izy sy ny angona fikojakojana ara-tantara.Mampiasà maodely statistika samihafa ary mamorona andiana vokatra mifanelanelana.Ny toetr'andro momba ny tontolo iainana koa dia azo ampiasaina hanadiovana bebe kokoa ny vinavina.
[G06Q] Rafitra na fomba fanodinana angona, indrindra mety amin'ny tanjona ara-pitantanana, ara-barotra, ara-bola, fitantanana, fanaraha-maso na vinavina;rafitra na fomba izay tsy noraisina manokana ho an'ny tanjona fitantanana, ara-barotra, ara-bola, fitantanana, fanaraha-maso na vinavina, saingy tsy nomen'ny [2006.01]
Rafitra sy fomba hanomezana tontolo iainana drafitra fanentanana momba ny varotra mivantana Patent No. 10692105
Mpamorona: Venkat R. Achanta (Frisco) Mpiasan'ny: Experian Information Solutions, Inc. 24, 2019 (396 andro nanaovana fangatahana)
Famintinana: Nambara ny endriky ny rafitra iray izay ahafahan'ny paikadin'ny fifantenana ho an'ny fanentanana ara-barotra mivantana homanina, hotsapaina ary/na hatsaraina amin'ny ampahany tsy miovaova amin'ny angon-drakitra momba ny trosa izay mamaritra ny mpanjifa avy amin'ny birao fampindramam-bola na angon-drakitra mpanjifa hafa.Amin'ny endrika sasany, rehefa voadio, dia azo ampiasaina ny mason-tsivana mifantina mpanjifa mba hanatanterahana fampielezan-kevitra mivantana amin'ny angon-drakitra momba ny mpanjifa/trosa, izay havaozina indroa isan-kerinandro eo ho eo.Amin'ny endrika tiana kokoa, ny angon-drakitra ampiasaina hitsapana ny angon-drakitra dia maneho santionany kisendrasendra eo amin'ny 10% eo ho eo amin'ny angon-drakitra manontolo, ary ny santionany dia averina indray mandeha isan-kerinandro mba hanomezana angon-drakitra marin-toerana ahafahan'ny mpamorona hetsika hitsapa ny asany.Ho an'ny mpanjifa tsirairay ao amin'ny santionany, ny tontolo iainana dia afaka mamela ny mpanjifa hiditra sy hampiasa ireo toetra kajy nataon'ny birao fampindramam-bola sy ireo toetra sy angon-drakitra ananan'ny mpanjifa.Ny rafitra dia ahafahan'ny mpanjifa maro mampiasa ny rafitra amin'ny fotoana iray ihany, sady miaro ny fiainana manokana sy ny fahamarinan'ny angon-drakitra sy ny valin'ny fananan'ny mpanjifa.
[G06Q] Rafitra na fomba fanodinana angona, indrindra mety amin'ny tanjona ara-pitantanana, ara-barotra, ara-bola, fitantanana, fanaraha-maso na vinavina;rafitra na fomba izay tsy noraisina manokana ho an'ny tanjona fitantanana, ara-barotra, ara-bola, fitantanana, fanaraha-maso na vinavina, saingy tsy nomen'ny [2006.01]
Mpamorona: Tod A. Ruble (Dallas) Mpiasa: Biraon'ny lalàna tsy voatendry: Foley Lardner LLP (toerana + 13 metro hafa) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15891141 amin'ny 02/07/2018 (867 andro hanaovana fangatahana famoahana )
Abstract: Ny rafitra informatika sy ny fomba famokarana angona angon-drakitra azo vidiana sy angon-drakitra-agnostika dia avoaka, izay misy mpizara afovoany mamokatra torolalana marobe mba handraisana ny angon-drakitra momba ny taona ho an'ny angon-drakitra isan-taona avy amin'ny mpizara mpampiasa sy ny mpizara mpiandraikitra firaketana Records, toetran'ny mpandray anjara sy ny metaly isan-taona;Ampifandraiso ireo angon-drakitra isan-taona isan-karazany amin'ny alàlan'ny fanaovana sari-tany ny sahan'ny angon-drakitra amin'ny fitambaran'ny angona isan-taona mifanaraka amin'izany ary mamorona angon-drakitra mitambatra-agnostika momba ny taona;manara-maso tsy tapaka ny angon-drakitra momba ny angon-drakitra isan-taona izay tsy misy ifandraisany amin'ny angon-drakitra Performance valeur: Rehefa tsy mahafeno ny tokonam-baravarana efa voafaritra mialoha ny sandan'ny fampandehanana ny antontan-taratasim-bola isan-taona, dia novaina amin'ny fomba dinamika ny mpihazona firaketana amin'ny alàlan'ny fanovana ny firaketana an-tsoratra momba ny firaketana an-tsoratra.
[G06Q] Rafitra na fomba fanodinana angona, indrindra mety amin'ny tanjona ara-pitantanana, ara-barotra, ara-bola, fitantanana, fanaraha-maso na vinavina;rafitra na fomba izay tsy noraisina manokana ho an'ny tanjona fitantanana, ara-barotra, ara-bola, fitantanana, fanaraha-maso na vinavina, saingy tsy nomen'ny [2006.01]
Mpamorona: Mark Morrison (Rolert), Prasad Pathapati (Frisco) Mpiasa: Capital One Services, LLC (McLean, Virginia) Biraon'ny lalàna: Harrity Harrity, LLP (birao tsy eo an-toerana) Laharan'ny fangatahana , Daty, hafainganam-pandeha: 16/04/ 037 ny 10/04/2019 (263 andro hivoaka ny fangatahana)
Abstract: Ny sehatra famakafakana fiara dia mety hahatonga ny fitaovana fakana sary iray na maromaro haka sary maromaro.Ny sehatra famakafakana fiara dia mety ahafahan'ny sensor iray na maromaro manome angona fandrefesana mifandray amin'ny toetran'ny fiara iray na maromaro miasa.Ny sehatra famakafakana fiara dia mety hamaritra endri-javatra iray na maromaro amin'ny fiara mifototra amin'ny sary marobe, ary mahazo fampahalalana momba ny fiara mifototra amin'ny endri-javatra iray na maromaro.Ny sehatra famakafakana fiara dia afaka mamakafaka ny fiara mifototra amin'ny sary maro sy fampahalalana momba ny fanondroana mba hamaritana ny isa sary mifandray amin'ny fiara.Ny sehatra famakafakana fiara dia afaka mamakafaka ny fiara mifototra amin'ny angon-drakitra fandrefesana sy ny fampahalalana momba ny fanondroana mba hamaritana ny isa fiasana mifandraika amin'ilay fiara.Ny sehatra famakafakana fiara dia manao hetsika mifandraika amin'ny fiara mifototra amin'ny naoty sary sy ny naoty miasa.
Mpamorona: Geoffrey Dagley (McKinney), Jason Hoover (Vine), Micah Price (Anna), Qiaochu Tang (Colony), Stephen Wylie (Carrollton) Assignee: Capital One Services, LLC (VA McLean) ) Law Firm: Harrity Harrity, LLP (birao tsy eo an-toerana) laharana fangatahana, daty, hafainganam-pandeha: 16689465 amin'ny 20 Novambra 2019 (216 andro hamoahana)
Famintinana: Ny fitaovana mpizara dia mety hahazo fangatahana voalohany hampiasa ny toerana fiantsonan'ny fiara sisa tavela amin'ny toerana iray na maromaro avy amin'ny fitaovana voalohany mifandray amin'ny fikambanana izay manana lisitry ny fiara hotehirizina ao amin'ny toerana fiantsonan'ny fiara.Ny fitaovan'ny mpizara dia mety hanao fifampiraharahana eo amin'ny fikambanana sy ny mpamatsy fiara iray na maromaro mifandray amin'ny toerana iray na maromaro mba hanokana toerana fiantsonan'ny fiara ho an'ny fikambanana.Ny fitaovana mpizara dia mety hahazo ny fangatahana faharoa avy amin'ny toerana fiaingana avy amin'ny fitaovana faharoa hampiasa ny fiara ao amin'ny lisitra.Ny fitaovana mpizara dia afaka mamaritra ny toerana fitehirizana fiara tsy mitovy amin'ny toerana fiaingana amin'ny toerana fiantsonan'ny fiara sisa natokana ho an'ny fikambanana, ary mandefa fampahafantarana milaza fa vonona ny hampiasa ilay fiara amin'ny fitaovana faharoa mifototra amin'ny fangatahana faharoa.Nifindra tany amin'ny toerana niaingana ilay fiara.
[G08G] Rafitra fanaraha-maso ny fifamoivoizana (Mitarika ny fifamoivoizana amin'ny lalamby hiantohana ny fiarovana ny fifamoivoizana amin'ny lalamby B61L; radar na rafitra mitovy, rafitra sonar na rafitra lidar, indrindra mety amin'ny fanaraha-maso ny fifamoivoizana G01S 13/91, G01S 15/88, G01S 17/88; Radar na rafitra mitovy amin'izany natao manokana ho an'ny tanjona fisorohana ny fifandonana, rafitra sonar na rafitra lidar G01S 13/93, G01S 15/93, G01S 17/93; mifehy ny toerana, ny lohany ary ny haavon'ny tany, ny rano, ny rivotra na ny habakabaka na ny fihetsika, tsy manokana amin'ny tontolon'ny fifamoivoizana G05D 1/00) [2]
Mpamorona: Melvin Johnson (Dallas) Mpiasa: Biraon'ny lalàna tsy voafaritra: Sanchelima Associates, PA (birao tsy an-toerana 1) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16378748 amin'ny 9 aprily 2019 (441 andro ny fe-potoana fangatahana) Fanontaniana)
Abstract: Ny famoronana ankehitriny dia fitaovana elektronika hub, izay ahitana rafitra mipetaka amin'ny firafitry ny tsorakazo amin'ny zavatra iray.Ny frame dia misy sensor iray na maromaro voalamina mba hamantarana hetsika iray na maromaro manodidina ny fitaovana elektrônika, ary ny loharanom-pahazavana marobe napetraka eo amin'ny sisin'ny frame, ny hamaroan'ny loharanom-pahazavana namboarina mba hanazava ny frame ao anatin'ny sisin'ny frame. ampahany amin'ny.Ny ampahany amin'ny rafitra dia ahitana votoaty fampiroboroboana nofantenana mifototra amin'ny safidin'ny mpampiasa.Ny frame koa dia misy processeur iray namboarina mba hampavitrika loharanom-pahazavana marobe rehefa misy hetsika iray na maromaro hita.Ny famoronana ankehitriny dia misy amin'ny endrika hafa izay misy afisy ampiasaina hanehoana votoaty fampiroboroboana tian'ny mpampiasa.Amin'ity endrika ity, ny afisy dia aseho amin'ny fampiasana peratra na amin'ny fametrahana ny afisy eo amin'ny sarin'ny fitaovana elektronika.Izany dia ahafahan'ny votoaty fampiroboroboana ovaina matetika araka ny andrasan'ny mpampiasa.
Mpamorona: Ali Al-Shamma (San Jose, Kalifornia) Mpiasa: SANDISK TECHNOLOGIES LLC (Addison) Biraon'ny lalàna: Volpe sy Koenig, PC (birao tsy an-toerana 3) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16195175 amin'ny 11/19/2018 ( 582 andro aorian'ny famoahana ny fangatahana)
Abstract: Manome ohatra isan-karazany amin'ny fanafainganana ny asa fampitomboana, izay azo ampiasaina amin'ny fampandehanana tambajotra neural sy ny fampiharana hafa.Amin'ny ohatra iray, ny circuit dia ahitana: sela fitadidiana tsy miovaova;ary fizaran-tsarimihetsika ampiarahina amin'ny terminal vavahady amin'ny sela fitadidiana tsy miovaova.Ny fizaran-tseranana fampidiran-dresaka dia namboarina mba hampiakatra ny voltase fanaraha-maso ampiharina amin'ny terminal vavahady amin'ny tahan'ny ramp izay maneho ny multiplicand.Circuit output, izay ampiarahina amin'ny terminal output an'ny vondrona fitehirizana tsy miovaova, ary namboarina mba hamokatra pulse mivoaka mifototra amin'ny voly fanaraha-maso izay mahafa-po ny voltase tokonam-baravaran'ny vondrona fitehirizana tsy miovaova, izay ahitana ny faharetan'ny Ny pulse output dia ahitana ny sanda ampitomboina Ampitomboina amin'ny volavolan-tsolika.
[G11C] Fivarotana static (fitehirizana fampahalalana mifototra amin'ny hetsika mifandraika eo amin'ny mpitatitra firaketana sy ny transducer G11B; fitaovana semiconductor ampiasaina hitehirizana H01L, toy ny H01L 27/108-H01L 27/11597; amin'ny ankapobeny H03K pulse teknolojia, toy ny Electronic switch H03K 17/00)
Mpamorona: Nicolas Gabriel Garcia (Fort Worth) Mpiasa: Operative Medical Solutions, LLC (Fort Worth) Biraon'ny lalàna: Whitaker Chalk Swindle Schwartz PLLC (birao tsy an-toerana 2) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16450447 Ny 06/24/2019 ( ny fangatahana dia navoaka nandritra ny 365 andro)
Abstract: Rafitra akanjo manalefaka taratra miaraka amin'ny takelaka fitaovana manalefaka taratra marobe, mety amin'ny fampifanarahana amin'ny lamin'ny vatan'olombelona.Ny rafitra akanjo manalefaka ny taratra dia ahitana lobaka sy pataloha fohy vita amin'ny fitaovana voaporitra.Betsaka ny takelaka fitaovana manalefaka taratra dia voalamina tsara amin'ny lobaka fohy sy panty mba hiarovana ny mpitafy amin'ny fiparitahan'ny taratra eo amin'ny faritra misy ny takelaka manalefaka taratra.
[G21F] Fisorohana ny taratra X-ray, ny taratra gamma, ny taratra vatana na ny daroka baomba;fanodinana akora voapoizina radiôaktifa;noho izany fitaovana fandotoana (fiarovana amin'ny taratra amin'ny fitaovana fanafody A61K 8/00, A61Q 17/04; amin'ny sambon-danitra miaraka amin'ny B64G 1/54; miaraka amin'ny reactor G21C 11/00; mitambatra amin'ny fantsona X-ray H01J 35/16; miaraka amin'ny X- fitaovana ray H05G 1/02)
Fizarana dinamika ny singa serivisy amin'ny serivisy fampahalalana amin'ny maritrano fifandraisan-davitra hierarchical Patent No. 10693704
Mpamorona: Izzet Murat Bilgic (Woodinville, Washington), Paul-Andre Raymond (Reston, Virginia) Mpiandraikitra: B.yond, Inc. (Frisco) Biraon'ny lalàna: Fenwick West LLP (4 birao tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha : 15922817 tamin'ny 15 martsa 2018 (831 andro famoahana ny fangatahana)
Abstract: Ny embodiments dia mifandraika amin'ny fizarana loharanon-karena amin'ny fitaovana informatika ao amin'ny tambajotra hanomezana serivisy fampahalalana.Ny fitaovana informatika dia mety ho rafitra ara-jeografika ary mety ahitana, ohatra, ny server cloud, ny fifandraisan-davitra, ny sisiny, ny vavahady ary ny fitaovana mpanjifa.Ny tontolon'ny rafitra dia mety ahitana mpandrindra ambaratongam-pahefana izay miara-miasa amin'ny mpandrindra eo an-toerana iray na maromaro mba hizara ireo singa tolotra (ohatra, logiciel miasa na singa hardware) ho an'ny fitaovana informatika.Ny mpandrindra dia afaka mamindra ho azy ny loharanon-karena ho setrin'ny fahitana hetsika fanavaozana (ohatra, fiovan'ny fifamoivoizana na enta-mavesatra ao amin'ny tambajotra).
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: Rejish Puthiyadath Cheruvatta (Koper) Mpiasa: BlackBerry Limited (Waterloo, Ontario, California) Biraon'ny lalàna: Fish Richardson PC (eo an-toerana + 13 tanàna metropolitanina hafa) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15513114 amin'ny 09/23/2015 (1735 andro) navoaka ny fampiharana taloha)
Famintinana: Rafitra sy fomba fanaraha-maso ireo boaty mailaka marobe amin'ny alàlan'ny node solosaina marobe.Ny hamaroan'ny node informatika dia misy node solosaina voalohany ary node solosaina faharoa.Ny node solosaina voalohany dia namboarina mba hamantarana ny fanavaozana amin'ny rakitra angona mifandraika amin'ny fitahirizana hafatra;ho setrin'ny detecting fanavaozam-baovao ho an'ny tahiry tahirin-kevitra, mamaritra ny voalohany amin'ny plurality ny solosaina nodes mifototra fara fahakeliny amin'ny ampahany amin'ny toetra voatahiry ao amin'ny tahiry tahirin-kevitra Ny solosaina faharoa node dia ny manara-maso ny fitahirizana hafatra;nohavaozina ny rakitra angona mba hitahiry ny identifier mifanaraka amin'ny node solosaina faharoa.Ny node solosaina faharoa dia namboarina mba: hamantatra ny fanavaozana ny rakitra angon-drakitra amin'ny alàlan'ny node solosaina voalohany mifototra amin'ny ampahany amin'ny famantarana;ary amboary ny dingana fanaraha-maso ho an'ny fivarotana hafatra mifototra amin'ny ampahany farafaharatsiny amin'ny toetra voatahiry ao amin'ny rakitra angona.
[G06F] fanodinana angona nomerika elektrika (rafitra informatika mifototra amin'ny maodely kajy manokana G06N)
Mpamorona: David C. Hutchison (Plano), Douglas A. Blettner (Dallas), Henry W. Neal (Allen), Richard L. Southerland (Plano) Mpiasa: DRS Network Imaging Systems, LLC ( Melbourne, FL) Orinasa Mpampanoa lalàna: Kilpatrick Townsend Stockton LLP (birao tsy an-toerana 14) Laharana fangatahana, daty, hafainganam-pandeha: 16150126 amin'ny 2 Oktobra 2018 (630 andro hivoaka)
Abstract: Manome fomba hamoronana sarintany mari-pana amin'ny sehatra.Ny fomba iray dia mety ahitana ny fandraisana angona mafana amin'ny sehatra.Ny angon-drakitra mafana dia ahitana ny sarin'ny angona infrared thermal.Azo atao ny mamorona sari-tany ho an'ny frame tsirairay mifototra amin'ny angona infrared thermale digital.Ny fomba dia ahitana ihany koa ny fampiasana ny sarintany mba hamoronana mari-pana sarintany.Ny sarintany mari-pana dia amboarina alohan'ny dingana fanatsarana ny fifanoherana.Ny fomba dia ahitana ihany koa ny fandefasana ny mari-pana sari-tany sy ny angon-drakitra infraroda thermal nomerika misaraka amin'ny fantsona data.
[G06K] Famantarana data;fanehoana angona;mpitatitra firaketana;mpitatitra firaketana fanodinana (voatonta B41J mihitsy)
Mpamorona: Masoud Vaziri (Richardson) Mpiasa: Biraon'ny lalàna tsy nomena: Tsy misy Laharana fampiharana ny mpisolovava, Daty, Haingana: 16/326 tamin'ny 09/03/2018 (659 andro havoaka)
Famintinana: Ny endriky ny fomba fijery ara-tsaina ny fitaovana fifandraisana dia ahitana singa azo entina voalohany sy fitaovana azo entina faharoa.Ny fitaovana azo entina voalohany dia ahitana: rafitra solomaso;fara-faharatsiny, singa optika voalohany nalamina eo amin'ny sarin'ny solomaso mba hakana sary seho iray, fara fahakeliny, mifanaraka amin'ny sehatry ny fijerin'ny mpampiasa;ary fara-faharatsiny singa optika iray segondra nalamina eo amin'ny sarin'ny solomaso Ampiasaina amin'ny: fakana sary maso iray farafahakeliny mifanandrify amin'ny ampahany amin'ny mason'ny mpampiasa iray farafahakeliny.Ny fitaovana azo entina faharoa dia mifandray amin'ny fitaovana azo entina voalohany ary ahitana processeur iray farafahakeliny iray voarindra mba hahazoana sary seho iray farafahakeliny ary sary maso iray farafaharatsiny mba hamaritana ny lalan'ny maso iray farafahakeliny eo amin'ny sehatry ny fijery.Ny "toro-lalana" dia aseho mifototra amin'ny sarin'ny maso iray farafahakeliny, ary ny ampahany amin'ny sary seho iray farafahakeliny dia avoaka mifototra amin'ny lalana voafaritra.
Mpamorona: Lee D. Whetsel (Parker), Richard L. Antley (Richardson) Mpiasa: Texas Instruments Incorporated (Dallas) Biraon'ny lalàna: Tsy misy Laharan'ny fangatahana torohevitra, daty, hafainganam-pandeha: 15267996 tamin'ny 09/16/2016 (navoaka) 1376 andro tamin'ny fampiharana)
Abstract: Ny fitsapana ara-potoana ny chips amin'ny wafer dia mety hampihena ny vidin'ny famokarana ICs.Ny fampahafantarana amin'izao fotoana izao dia manoritsoritra rafi-panadinana maty sy dingana izay mampihena ny fotoana fitsapana amin'ny alàlan'ny fametahana pad fitsapana eo amin'ny tampon'ny maty.Ny pad fitsapana fanampiny dia ahafahan'ny mpanandrana mijery sy mitsapa fizaran-tany bebe kokoa ao anaty die.Fanampin'izay, manampy amin'ny fampihenana ny tadin'ny tariby fitsapana izay takiana amin'ny fomba nentim-paharazana mba hidirana sy hitsapana ny fizaran-tany amin'ny chip, ka mampihena ny haben'ny chip.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Mpamorona: Jordan David Lamkin (Fort Worth), Kyle Martin Ringgenberg (Fort Worth), Mark A. Lamkin (Fort Worth) Mpiandraikitra: Lockheed Martin Corporation (Bethesda, Maryland) Biraon'ny lalàna: Baker Botts LLP (eo an-toerana + 8 hafa faritra metropolitan) laharan'ny fangatahana, daty, hafainganam-pandeha: 16188361 tamin'ny 13 Novambra 2018 (navoaka nandritra ny 588 andro ny fangatahana)
Abstract: Ao anatin'ny embodiment iray, ny fivorian'ny fampisehoana elektronika dia misy board circuit, layer microlens, layer array pixel, ary layer unit logic.Ny sosona microlens dia ahitana sela voalamina ao anaty lamina misy sela afovoany sy sela maro manodidina ny sela afovoany.Ny sosona array piksel dia ahitana piksela fampisehoana marobe.Ny soson'ny singa lojika dia misy lojika namboarina hampiasana ny ampahany amin'ny pikantsary fampisehoana mba hampisehoana sary kely ao amin'ny sela manokana tsirairay amin'ny laharana voalohany amin'ny sela ary hidirana amin'ny mari-pamantarana fanitsiana fahitana an'ny mpampiasa.Ny lojika ihany koa dia voaendrika mba hanao fanovana tsipika amin'ny sary maromaro amin'ireo singa manodidina araka ny mari-pamantarana fanitsiana ny fahitan'ny mpampiasa, ary hamindra ny sary maromaro amin'ny vondrona manodidina araka ny fiovan'ny tsipika, mba hanomezana ny mpampiasa manana fanitsiana fahitana nomerika.
Mpamorona: Brandon W. Pillans (Plano), Daniel B. Schlieter (Richardson), Patrick J. Kocurek (Allen) Mpiandraikitra: Raytheon (Waltham, Massachusetts) Biraon'ny lalàna: Renner, Otto , Boisselle Sklar, LLP (birao tsy an-toerana 1 ) laharana fangatahana, daty, hafainganam-pandeha: 15952364 amin'ny 04/13/2018 (802 andro hivoaka ny fangatahana)
Abstract: Ny mpanova impedance zotra fifindran'ny mpanova dia ahitana haino aman-jery dielectric roa farafahakeliny miaraka amin'ny fananana dielectric samy hafa, ny fitaovana dielectric tsirairay dia namboarina mba ho lasa manify tsikelikely amin'ny halavan'ny transformer impedance amin'ny fifandimbiasana mifanohitra amin'ny tsirairay mba hamorona fitaovana dielectric A composite mahomby. dielectric fananana izay naoty manaraka ny lalan'ny fifindran'ny.Ny media dielectric roa na maromaro dia azo apetraka eo anelanelan'ny conducteur roa mba hanomezana mpanova impedance, izay miovaova amin'ny halavany ny impedance mampiavaka ny tsipika fampitana ho setrin'ny haavon'ny toetran'ny dielectric mahomby amin'ny haino aman-jery dielectric mitambatra.
Mpamorona: Jae Seung Lee (Ann Arbor, Michigan), Jongwon Shin (Ann Arbor, Michigan) Mpiasa: Toyota Motor Engineering Manufacturing North America, Inc. (Plano) Firma lalàna: Dinsmore Shohl LLP (birao tsy an-toerana 14) Laharan'ny fangatahana, Daty, hafainganam-pandeha: 15653635 tamin'ny 19 Jolay 2017 (1070 andro fampiharana no tokony havoaka)
Abstract: Ny mpanova iray misy fihodinkodinana maro avy amin'ny tariby litz tokana ary rafitra iray misy ny mpanova toy izany sy ny fomba fanomezana ny mpanova toy izany dia nambara.Ny mpanova dia misy tariby iray sy tariby litz tokana miaraka amin'ny tariby maromaro misy fitaovana conductive.Ny plurality ny tondro fitaovana conductive tsirairay dia mizara ho plurality ny vondrona, ny tsirairay amin'ny plurality ny vondrona dia ny winding ny transformer, ka ny transformer dia ahitana ny plurality ny windings.
Mpamorona: Deric Wayne Waters (Dallas) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy Laharan'ny fangatahana toro-hevitra, Daty, Haingana: 15350609 tamin'ny 14 Novambra 2016 (1317 andro fampiharana no takiana)
Famintinana: Farafahakeliny ny embodiments sasany dia mitodika any amin'ny rafitra seranan-tsambo fitaovana elektronika anisan'izany ny fitaovana voalohany namboarina hifampiraharaha fifanarahana famatsiana herinaratra avy amin'ny loharanon-jiro amin'ny alàlan'ny tariby USB (USB).Ny rafitra koa dia ahitana fitaovana faharoa namboarina hifampiraharaha ny fifanarahana herinaratra avy amin'ny loharanon-jiro amin'ny alàlan'ny tariby USB rehefa tsy afaka mifampiraharaha ny fifanarahana herinaratra avy amin'ny loharanon-jiro ny fitaovana voalohany.Ny fitaovana faharoa dia natsangana mba hampavitrika ny switch rehefa avy nifampiraharaha fifanarahana herinaratra tamin'ny loharanon-jiro ilay fitaovana faharoa.Namboarina ny switch mba hanomezana hery avy amin'ny loharanon-jiro mankany amin'ny rafitry ny bateria amin'ny fitaovana elektronika araka ny fifanarahana famatsiana herinaratra nifampiraharaha.
[H01H] jiro elektrika;mpizara relay;fitaovana fiarovana vonjy taitra (cable contact H01B 7/10; electrolytic self-breaker H01G 9/18; fitaovana fiarovana vonjy maika H02H; mifamadika amin'ny fitaovana elektronika tsy misy fifandraisana H03K 17/00)
Mpamorona: He Lin (Frisco) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny Lalàna: Tsy misy Laharana fampiharana, Daty, Haingana: 16/01117 amin'ny 04/01/2019 (449 andro hivoaka)
Abstract: Amin'ny ohatra sasany, ny fitaovana electrostatic discharge (ESD) dia ahitana sosona substrate, sosona tetezamita eo amin'ny sosona substrate, fitambarana sosona superlattice eo amin'ny sosona tetezamita, ary misy sosona superlattice roa farafahakeliny.Ny fitaovana ESD dia ahitana ny plurality ny doped fifandraisana rafitra manomboka amin'ny tetezamita sosona ho any ambonin'ny ambonin'ny ivelany sosona ny plurality ny superlattice sosona, izay ny voalohany amin'ny plurality ny doped rafitra fifandraisana misy anode, ary ny faharoa doped. rafitra faharoa.Ny firafitry ny fifandraisana doped dia misy cathode, izay misy rafitra fifandraisana doped marobe ampiasaina hamoronana fitaovana ESD zero capacitance.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Mpamorona: Christopher Daniel Manack (Flower Hill), Nazila Dadvand (Richardson), Salvatore Frank Pavone (Murphy) Mpiandraikitra: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 16038598 amin'ny 07/18/2018 ( Navoaka ny fampiharana 706 andro)
Abstract: Firafitra ho an'ny fitaovana semiconductor, anisan'izany ny sosona varahina (Cu) sy ny sosona firaka nikela (Ni) voalohany miaraka amin'ny haben'ny voa Ni amin'ny [subscript] 1 [/subscript].Ny rafitra koa dia ahitana sosona firaka Ni faharoa miaraka amin'ny haben'ny voany Ni a [subscript] 2 [/subscript], izay misy [subscript] 1 [/subscript] a [subscript] 2 [/subscript ].Ny sosona Ni firaka voalohany dia eo anelanelan'ny sosona Cu sy ny sosona Ni firaka faharoa.Ny rafitra dia misy sosona vifotsy (Sn).Ny sosona Ni firaka faharoa dia eo anelanelan'ny sosona Ni firaka voalohany sy ny sosona Sn.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Mpamorona: Chen Xiong (Chengdu, Sina), Han Zhong (Chengdu, Sina), Xi Lin Li (Chengdu, Sina), Xiao Lin Kang (Chengdu, Sina), Yong Qiang Tang (Chengdu, Sina) CN, Zi Qi Wang ( Chengdu, CN) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 16/121/2018 (daty famoahana: 607 andro)
Abstract: Ny fomba iray amin'ny fananganana baolina fatorana amin'ny circuit integrated miforona amin'ny semiconductor die dia ahitana ny fananganana baolina amin'ny fahana voalohany amin'ny tariby iray ampidirina ao anaty fitaovana kapila, ary ny fametrahana ny fitaovana kapila mankany amin'ny semiconductor die Ny pads amin'ny semiconductor dia nampidinina.Support surface.Ny fomba dia ahitana ihany koa ny fampiasana maotera mba hamindra ny fanohanana ambonin'ny mifandray amin'ny capillary fitaovana, ka mamatotra ny baolina amin'ny pad tsy mampiasa ultrasound, ary avy eo dia manangana ny capillary fitaovana.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Ny fitaovana semiconductor miaraka amin'ny interconnection stacked ampiasaina hanampiana ny fitsapana fifandraisana
Mpamorona: Christian N. Mohr (Allen), Scott E. Smith (Plano) Mpiandraikitra: Micron Technology, Inc. (Boise, Idaho) Laharan'ny fangatahana: Perkins Coie LLP (17 birao tsy eo an-toerana):., Daty, Haingana: 16020140 tamin'ny 06/27/2018 (fampiharana 727 andro havoaka)
Abstract: Ity lahatsoratra ity dia manambara ireo fitaovana semiconductor miaraka amin'ny fifandraisana amin'ny alàlan'ny stack ho fanamorana ny fitiliana fifandraisana sy ny rafitra sy ny fomba mifandraika amin'izany.Ao amin'ny endrika iray, ny fitaovana semiconductor dia misy stack of semiconductor maty ary ny plurality amin'ny alalan'ny stack interconnects manitatra amin'ny alalan'ny stack mba hifandray elektrika ny semiconductor maty.Ny interconnection dia ahitana interconnection miasa ary fara fahakeliny interconnection fitsapana.Raha ampitahaina amin'ny interconnection miasa, ny interconnection fitsapana dia hita ao amin'ny ampahany amin'ny stack ary mora kokoa ny fifandraisana kilema.Noho izany, ny fitsapana ny fifandraisana amin'ny fifandraisana amin'ny fitsapana dia afaka manome famantarana ny fifandraisana amin'ny fifandraisana miasa.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Mpamorona: Kenneth KO (Richardson), Zeshan Ahmad (Richardson) Mpiasa: UNSSIGNED Law Firm: Tsy misy Laharan'ny fangatahana toro-hevitra, daty, hafainganam-pandeha: 15881534 amin'ny 01/26/2018 (Mila avoaka 879 andro))
Abstract: Ny varactor MOS mitambatra miaraka amin'ny curve CV antisymmetric dia miforona amin'ny alàlan'ny teknolojia CMOS mahazatra.Asymmetric varactor diodes (ASVAR) dia afaka mamokatra amin'ny fomba mahomby ny harmonika mitovitovy amin'ny filaharana ary manafoana ny harmonika hafahafa amin'ny bandwidth midadasika.Izany dia tratra tsy misy fampihenana ny dynamic cutoff matetika.Mihabetsaka ny fatran'ny diodes varactor asymmetrika, izay mety hiteraka subharmônika fanamiana amin'ny fomba mahomby, na dia mahatratra haavo ambany millimeter na terahertz aza.Izany sy ny toetra mampiavaka CV adaptive ny asymmetric varactor diodes dia mitarika ho amin'ny taranaka mirindra miaraka amin'ny flexibility fiovana dingana, ary azo ampiasaina ihany koa ho an'ny fampandehanana ny famaliana matetika sy ny fanatsarana ny fampisehoana ao anatin'ny toe-javatra isan-karazany mitondra fiara.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Ny laharan'ny patanty amin'ny fitaovana fitadidiana telo dimanjato ao anatin'izany ny firafitry ny fitadidiana miendrika tavoahangy ary ny electrode vavahady mifantina tatatra misy ampahany cylindrical dia 10692884.
Mpamorona: Sakakibara Kiyohiko (Yokkaichi, JP), Ya Shinsuke Yada (Yokkaichi, JP), Cuixin Cui (Yokkaichi, JP) Mpiasa: SANDISK TECHNOLOGIES LLC (Addison) Biraon'ny lalàna: Marbury Law Group PLLC (3 birao tsy eo an-toerana) laharana fampiharana , daty, hafainganam-pandeha: 16138001 tamin'ny 21 Septambra 2018 (641 andro vao mivoaka)
Abstract: Fitaovana fitadidiana telo-dimensional izay misy takelaka mifandimbiasan'ny insulation sy conductive eo ambonin'ny substrate, electrode vavahady mifantina amin'ny tatatra hita eo ambonin'ny stack mifandimby, manitatra amin'ny fanokafana fitadidiana mifandimby ary ny tatatra mifanandrify mifantina Elektroda vavahady ambaratonga, ary rafitra famenoana fanokafana fahatsiarovana hita ao amin'ny fanokafana fahatsiarovana.Ny firafitry ny famenoana fanokafana fahatsiarovana dia mety manana profil mitongilana mba hanomezana refy lateral kely kokoa amin'ny haavon'ny elektrôda vavahadin'ny lalan-dra noho ny ao amin'ny stack mifandimby.Ny elektrôda vavahady mifantina amin'ny tatatra tsirairay dia ahitana: ampahany amin'ny fiaramanidina manana fizarana roa mitsangana;ary andiana ampahany cylindrical mitsangana mitsangana miakatra avy eo amin'ny ampahan'ny fiaramanidina ary manodidina ny iray mifanitsy amin'ny rafitra famenoana fanokafana fahatsiarovana.Ny firafitry ny famenoana fanokafana fahatsiarovana dia azo amboarina ao anaty laharan-droa amin'ny pitch.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Mpamorona: Benjamin Stassen Cook (Addison), Daniel Carothers (Lucas), Roberto Giampiero Massolini (Pavia, IT) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 16023377 tamin'ny 06/29/2018 (725 andro fampiharana)
Abstract: Ny circuit integrated (IC) dia misy substrate circuit, izay misy lafiny anoloana sy ilany aoriana.Eo anoloana no misy ny circuit active.Ny firafitry ny fahatsapana dia hita ao anaty hady lalina miforona ao amin'ny substrate circuit eo ambanin'ny ilany aoriana.Ny rafitra inductive dia atambatra amin'ny circuit active.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Mpamorona: Brent Hans Larson (Dallas), Virgil Cotoco Ararao (McKinney) Mpiandraikitra: TT ELECTRONICS PLC (Carrollton) Law Firm: Haynes and Boone, LLP (local + 13 other cities) application number , Date, speed: 15995510 on January 1, 2018 (753 andro famoahana ny fangatahana)
Famintinana: Fitaovana mpitsikilo optique ahitana: substrate vera misy dian-tongotra misy takelaka;fitaovana semiconductor manana detector optika mibaribary eo amin'ny sisiny manoloana ny substrate fitaratra, ny fitaovana semiconductor fanampiny ahitana fifandraisana elektrika amin'ny Plurality pads fatorana ny ampahany voalohany amin'ny conductive traces;rafitra famehezana metaly izay mampifandray ny sisin'ny substrate fitaratra miaraka amin'ny dian conductive sy ny sisin'ny fitaovana semiconductor manoloana ny substrate fitaratra.Ny habetsahan'ny varotra conductive elektrisianina ivelan'ny periferika amin'ny fitaovana semiconductor.
[H01L] Fitaovana semiconductor;tsy misy fitaovana matanjaka hafa omena (fitaovana semiconductor handrefesana G01; resistors ho an'ny H01C mahazatra; magnets, inductors, ary transformer ho an'ny magnet H01G; capacitors ho an'ny H01G mahazatra; fitaovana elektrônika H01G 9/00; Batterie, bateria fitehirizana H01G; Waveguide , resonator, na waveguide karazana tsipika H01P; connector tsipika, mpanangona H01R; fitaovana emission stimulité H01S; resonator elektromekanika H03H; mpandahateny, mikrô, grafofaonina pickup na transducer electromechanika mitovy H04R; Loharano jiro elektrika General H05B; boards printy, circuit hybride, elektrika trano na antsipirian'ny rafitra, famokarana singa elektrika H05K; fitaovana semiconductor ampiasaina amin'ny faritra misy fampiharana manokana, azafady jereo ny subcategories fampiharana) [2]
Implants heterocombined miaraka amin'ny karazana VCSEL samihafa natsangana indray VCSEL sy VCSEL array Patent No. 10693277
Mpamorona: Deepa Gazula (Allen), Yang Haiquan (McKinney), Luke A. Graham (Allen), Sonia Quadery (Allen) Mpiasa: II-VI Delaware Inc. (Will, Delaware) Minton) Biraon'ny lalàna: Maschoff Brennan (5 tsy -birao eo an-toerana) Laharana fangatahana, daty, hafainganam-pandeha: 16/06/734 tamin'ny 08/06/2019 (navoaka ny fangatahana 322 andro)
Famintinana: Ny VCSEL tsy planar dia mety ahitana: faritra sakana ambony na ambany ny faritra mavitrika, ny faritra sakana manana hateviny voalohany;ary ny iray na maromaro conduit channel cores ao amin'ny faritra sakana, ny iray na Ny plurality ny conductive fantsona cores manana faharoa hatevin'ny lehibe kokoa noho ny voalohany hatevin'ny, izay ny faritra sakana dia faritana amin'ny alalan'ny implant, ary ny iray na maromaro fantsona fantsona cores manana. tsy misy implants ny implant.Ao amin'ny zavatra, izay ny faritra sakana dia eo amin'ny lafiny ambonin'ny iray na maromaro fantsona conduction cores, ary ny faritra sakana sy ny iray na maromaro conductor fantsona cores dia faritra mitoka-monina;semiconductor iray na maromaro tsy planar eo amin'ny faritra mitoka-monina Soson'ny faritra semiconductor tsy planar.Ny VCSEL dia mety ahitana faritra fitaratra ambany ambany eo ambanin'ny faritra mavitrika sy faritra fitaratra ambony tsy fisaka eo ambonin'ny faritra mitoka-monina, na faritra fitaratra ambany tsy fisaka eo ambanin'ny faritra mavitrika.
Mpamorona: Seth Benson (Arlington) Mpiasa: Biraon'ny lalàna tsy voafaritra: Greenberg Lieberman, LLC (birao 1 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16059836 amin'ny 08/09/2018 (684 andro hampiharana) olana)
Abstract: Manoritsoritra ny vy vita amin'ny gorodona / gorodona vita amin'ny metaly namboarina ho ampiasaina amin'ny switchgear mahazatra.Ny fitaovana dia manana insulation ampy sy mahafa-po amin'ny fifandraisan'ny fiara fitateram-bahoaka rehetra ary natsangana mba hanakatona ny ampahany mivantana amin'ny fizaran-tany omen'ny fiatoana manokana mandritra ny fahatapahana amin'ny fikojakojana.Ity fitaovana ity dia ahafahan'ny elektrisianina manao fikojakojana sy fanamboarana azo antoka raha misy ny fahatapahan-jiro, tsy mila mametraka fitaovana fitiliana an-tanety vonjimaika.Ny clamshells insulating dia misy mba hamerana ny fiparitahan'ny lesoka avy amin'ny singa velona mandra-pahazoan'ny singa fa miorim-paka alohan'ny fanompoana ny switchgear.
[H02B] Circuit boards, bases na switchgear ampiasaina amin'ny famatsiana herinaratra na fitsinjarana herinaratra (ny singa elektrika fototra, ny singany, ao anatin'izany ny fametrahana ao amin'ny trano na ny fototra na ny fametrahana ny fonony eo aminy), azafady jereo ny sub Class, toy ny transformer H01F, switch, fuse H01H, connector tsipika H01R;fametrahana tariby na tsipika, na fametrahana fitambarana tariby optika sy tariby na tsipika, na conducteur hafa ampiasaina amin'ny famatsiana herinaratra na fizarana H02G)
Rafitra elektrika mitsitsy angovo sy fomba ho an'ny foibe data modular sy kabine data modular Patent No. 10693312
Mpamorona: Subrata K. Mondal (South Windsor, Connecticut) Mpiasa: INERTECH IP LLC (Plano) Orinasa mpahay lalàna: Weber Rosselli Cannon LLP (toerana tsy hita) Laharana fampiharana, daty, hafainganam-pandeha: 15883496, 01/30/2018 (875 andro fampiharana) navoaka)
Abstract: Famatsiana herinaratra tsy misy fiatoana (UPS) avo lenta, modular, mivantana mivantana (DC) ho an'ny mpizara iray farafahakeliny ao amin'ny foibe data.Ny fiovam-po tokana DC UPS dia misy mpanova AC-DC, fitaovana fitahirizana angovo azo ampifandraisina amin'ny fivoahan'ny mpanova AC-DC, ary mpanova herinaratra DC-DC iray amin'ny mpizara fiovam-po, izay mifandray amin'ny mpanova AC-DC. ary angovo Ny fitaovana fitahirizana dia mety ho batterie lithium-ion ambany-volte na fitaovana fitahirizana mitambatra miaraka amin'ny capacitor super.DC UPS dia azo ampidirina ao anatin'ny rafitra UPS ho an'ny ivontoerana angon-drakitra, izay ahitana singa maromaro amin'ny rack server sy vondrona fizarana fanamafisam-peo (CDU).Ny rafitra UPS dia misy mpamokatra, AC UPS mifandray amin'ny elektrika eo anelanelan'ny mpamokatra sy ny maro an'isa amin'ny CDUs, ary ny isan'ny DC UPS mitambatra eo anelanelan'ny mpamokatra sy ny ampahany amin'ny singa rack server.
[H02J] Fitaovana na rafitra famatsiana na fizarana herinaratra;rafitra fitahirizana angovo elektrika (faritra herinaratra G01T 1/175 ho an'ny fitaovana ampiasaina handrefesana taratra X, taratra gamma, taratra poti na taratra cosmic; indrindra ho an'ireo tsy misy kojakoja mihetsika Circuit famatsiana herinaratra G04G 19/00 ho an'ny famantaranandro sy famantaranandro elektronika; ampiasaina amin'ny Solosaina nomerika G06F 1/18; ampiasaina amin'ny fantsona fivoahana H01J 37/248; ampiasaina amin'ny famadihana ny faritra na fitaovana elektrika, ampiasaina amin'ny fanaraha-maso na fanaraha-maso ireo faritra na fitaovana toy izany H02M; Fanaraha-maso mifandraika amin'ny motera marobe, fanaraha-maso ny mpamokatra / mpamokatra voalohany. fitambarana H02P; hifehy ny herinaratra avo lenta H03L; ho fanampin'izany, ampiasao tsipika herinaratra na tariby handefasana fampahalalana H04B)
Fomba sy fitaovana ho an'ny famarotana tampon'isa hampihenana ny fatiantoka amin'ny mpanova DC-DC matetika Patent No. 10693371
Mpamorona: Pradeep S. Shenoy (Richardson) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy Laharan'ny Fampiharana Torohevitra, Daty, Haingana: 14448959 (31 Jolay 2014) (Daty famoahana: 2154 andro)
Famintinana: Fomba iray ahitana ny fanaraha-maso ny elanelan'ny resonance amin'ny node switching.Ny fomba dia ahitana ihany koa ny fitadiavana soatoavina preset iray na maromaro mifandraika amin'ny elanelan'ny resonance manerana ny node mifamadika.Ny fomba dia misy ihany koa: ho setrin'ny fitadiavana soatoavina efa nomanina iray na maromaro mifandraika amin'ny elanelan'ny resonance manerana ny node switch, manomboka ny switch avo ho amin'ny hetsika "on".
[H02M] Fitaovana ampiasaina amin'ny fiovam-po eo anelanelan'ny AC sy AC, eo anelanelan'ny AC sy DC, na eo anelanelan'ny DC sy DC, ary ireo fitaovana ampiasaina amin'ny tambajotran-jiro na rafitra herinaratra mitovy aminy;manova DC na AC hery fampidirana ho surge Output hery;fanaraha-maso na fitsipika (fiovam-po amin'izao fotoana izao na voltase, indrindra mety ho an'ny famantaranandro elektronika tsy misy fihetsehana faritra G04G 19/02; matetika ampiasaina amin'ny rafitra izay mifehy ny elektrika na magnetic variables, ohatra, mampiasa transformer, reactors na kenda, Ny fitambaran'ireo fitaovana ireo dia rafitra misy static converter G05F; ampiasaina amin'ny ordinatera nomerika G06F 1/00; transformer H01F; rehefa miasa miaraka amin'ny loharanon-kery mitovy na hafa H02J, ny fifandraisana na ny fanaraha-maso ny mpanova; mpanova elektrika H02K 47/00; mpanova fanaraha-maso, reactor na kenda, fanaraha-maso na fanaraha-maso maotera, gropy na gropy-moteur converter H02P; generator pulse H03K) [5]
Mpamorona: Mao Hengchun (Allen) Mpiasa: Quanten Technologies Limited (Reuters, VG) Biraon'ny lalàna: Slater Matsil, LLP (tanàna + 1 hafa) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15565523, 2007/21/2017 (fampiharana 1068 andro taloha) navoaka)
Famintinana: Fitaovana ahitana: ny kapasitera voalohany sy ny kapasitera faharoa mifandray amin'ny andian-dahatsoratra, ny diode iray sy ny kapasitera faharoa mifandray mifanitsy, izay ny cathode ny diode dia mifandray amin'ny node iombonana amin'ny kapasitor voalohany sy ny faharoa kapasitor, ary plurality ny Ny tambajotra fanitsiana capacitance dia mifandray amin'ny parallèle amin'ny faharoa capacitor.
[H02M] Fitaovana ampiasaina amin'ny fiovam-po eo anelanelan'ny AC sy AC, eo anelanelan'ny AC sy DC, na eo anelanelan'ny DC sy DC, ary ireo fitaovana ampiasaina amin'ny tambajotran-jiro na rafitra herinaratra mitovy aminy;manova DC na AC hery fampidirana ho surge Output hery;fanaraha-maso na fitsipika (fiovam-po amin'izao fotoana izao na voltase, indrindra mety ho an'ny famantaranandro elektronika tsy misy fihetsehana faritra G04G 19/02; matetika ampiasaina amin'ny rafitra izay mifehy ny elektrika na magnetic variables, ohatra, mampiasa transformer, reactors na kenda, Ny fitambaran'ireo fitaovana ireo dia rafitra misy static converter G05F; ampiasaina amin'ny ordinatera nomerika G06F 1/00; transformer H01F; rehefa miasa miaraka amin'ny loharanon-kery mitovy na hafa H02J, ny fifandraisana na ny fanaraha-maso ny mpanova; mpanova elektrika H02K 47/00; mpanova fanaraha-maso, reactor na kenda, fanaraha-maso na fanaraha-maso maotera, gropy na gropy-moteur converter H02P; generator pulse H03K) [5]
Mpamorona: Tommy F. Rodrigues (Nutley, NJ) Mpiasa: Building Materials Investment Corporation (Dallas) Law Firm: Womble Bond Dickinson (USA) LLP (14 birao tsy eo an-toerana) Laharana fampiharana, daty, hafainganam-pandeha : 16160598 amin'ny 15 Oktobra 2018 (fangatahana navoaka nandritra ny 617 andro)
Abstract: Ny rafitra photovoltaic Integrated roof (RIPV) dia manana taila solar maromaro napetraka eo amin'ny tafo.Ny rafitra metaly sy ny hanger na rafitra fametahana hafa dia azo ampiasaina hametrahana ny taila.Ny taila tsirairay dia manana fihaonan'ny sisiny elektrika mihemotra avy eo amin'ny sisiny ambony.Ny fihaonan'ny sisiny dia coplanar miaraka na misy ny fiaramanidina amin'ny taila masoandro, ary mety ho matevina kokoa noho ny hatevin'ny taila masoandro.Ny faladia amin'ny tendrony mifanohitra amin'ny sisiny junctions tranon'ny tariby plugs ampiasaina amin'ny elektrônika mampifandray ny solaitraingana taila.Ny fihaonan'ny sisiny dia manome fomba fametrahana ambany izay afaka maka tahaka ny endriky ny tafo tafo nentim-paharazana (toy ny taila slate).Ny fihaonan'ny sisiny somary matevina kokoa dia afaka mampiakatra ny endrik'ilay sosona taila solaire manaraka ho eny ambonin'ny taila ambanin'ny masoandro manaraka, ka manome rivotra ho an'ny laharan'ny RIPV ary mametraka toerana ho an'ny tariby rafitra.
[H02S] Mamorona herinaratra amin'ny alàlan'ny famadihana taratra infrarouge, hazavana hita maso na taratra ultraviolet, ohatra, amin'ny fampiasana mody photovoltaic [PV] (mpanangom-bokatra mafana amin'ny masoandro F24J 2/00; fahazoana angovo elektrika avy amin'ny loharano taratra G21H 1/12; saro-pady) tsy organika fitaovana semiconductor H01L 31/00;fitaovana thermoelectric H01L 35/00;fitaovana thermoelectric H01L 37/00;fitaovana semiconductor organika photosensitive H01L 51/42) [2014.01]
Mpamorona: Tiasha Joardar (flat) Mpiasa: Biraon'ny lalàna tsy nomena: Bay Area IP Group, LLC (birao tsy an-toerana 3) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15890183 amin'ny 02/06/2018 (famotsorana fampiharana 868 andro)
Abstract: Rafitra misy panneau solaire hybride marani-tsaina miaraka amin'ny fanaraha-maso ny maripana adaptive mandeha ho azy.Ny fanaraha-maso ny mari-pana mavitrika dia azo atao amin'ny alàlan'ny paompy hafanana, toy ny fa tsy voafetra amin'ny module coolant thermoelectric (TE) sy ny circuit switching miaraka amin'ny tsingerin'ny adidy miovaova izay mamindra tsindraindray ny famoahana ny cellule solar photovoltaic mankany amin'ny paompy hafanana.Ny rafitra fanaraha-maso voafaritry ny rindrambaiko manan-tsaina dia afaka manitsy ny tsingerin'ny adidin'ny switch mifototra amin'ny fampidirana sensory sy/na algorithm isan-karazany mba hanamafisana ny fivoahan'ny herinaratra amin'ny tontonana.Ny fampidiran-dresaka isan-karazany dia mety ahitana fampahalalana momba ny toetr'andro, hafanan'ny tontonana anatiny, hafanan'ny rivotra manodidina, volkano mivoaka avy amin'ny tontonana, ary ny ankehitriny sy ny hamandoana.Ny cellule solar photovoltaic sy ny paompy hafanana dia azo ampidirina amin'ny mekanika.
[H02S] Mamorona herinaratra amin'ny alàlan'ny famadihana taratra infrarouge, hazavana hita maso na taratra ultraviolet, ohatra, amin'ny fampiasana mody photovoltaic [PV] (mpanangom-bokatra mafana amin'ny masoandro F24J 2/00; fahazoana angovo elektrika avy amin'ny loharano taratra G21H 1/12; saro-pady) tsy organika fitaovana semiconductor H01L 31/00;fitaovana thermoelectric H01L 35/00;fitaovana thermoelectric H01L 37/00;fitaovana semiconductor organika photosensitive H01L 51/42) [2014.01]
Mpamorona: Eeshan Miglani (Chhindwara, Indiana), Nagalinga Swamy Basayya Aremallapur (Ranebennur, Indiana), Praxal Sunilkumar Shah (Ahmedab​​ad, Indiana), Visitsvaraya Pentakota (Bengaluru, Indiana) Mpiasa: TEXAS INSMENT napetraka Laharana fampiharana, Daty, Haingana: 16396873 amin'ny 04/29/2019 (421 andro hivoaka)
Abstract: Circuit fanafoanana sandoka ho an'ny circuits mifangaro famantarana.Ny fizaran-tany fanafoanana sandoka dia misy circuit miteraka famantaranandro, vondrona flip-flop ary faritra fanaraha-maso.Ny faritra famokarana famantaranandro dia namboarina mba hamoronana famantarana famantaranandro.Ny vondrona flip-flop dia ampiarahina amin'ny fizaran-taranaka famokarana famantaranandro ary ahitana ny hamaroan'ny flip-flops namboarina ho famantarana famantarana famantaranandro.Ampifandraisina amin'ny circuit generation clock sy ny groupe flip-flop ny circuit control.Ny faritra fanaraha-maso dia namboarina mba ahafahan'ny tsirairay mamela flip-flops iray na maromaro hanova fanjakana sy handany hery efa voafaritra mialoha ho valin'ny famantarana famantaranandro.Ary omeo ny sandan'ny data ho ampidirina amin'ny trigger.
[H03K] Pulse teknolojia (fandrefesana ny pulse toetra G01R; mampiasa pulse H03C mba modulate sinusoidal oscillation; mampita vaovao nomerika H04L; ny discriminator circuit mamantatra ny dingana fahasamihafan'ny roa famantarana amin'ny alalan'ny fanisana na ny fampidirana ny oscillation vanim-potoana H03D 3/04; mandeha ho azy Control, fanombohana, fampifanarahana na fanamafisana ireo mpamokatra izay tsy misy ifandraisany amin'ny karazana oscillation elektronika na mpamokatra pulse na tsy voafaritra; matetika H03M encoding, decoding na fiovam-po kaody)[4]
Mpamorona: Christopher Adam Opoczynski (Owen), George Vincent Konnail (Addison), H. Pooya Forghani-zadeh (Dallas) Voatendry: Texas Instruments Incorporated (Dallas) Law Firm: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 15635998 tamin'ny 28 Jona, 2017 (mila avoaka mandritra ny 1091 andro ny fangatahana)
Abstract: Ny andian-dahatsary lojika nomerika dia manana ny mari-pamantarana fidirana sy fivoahana mitovy ary mitovy ny isan'ny pad.Ny circuit integrated lojika nomerika ampiasaina amin'ity andian-dahatsoratra ity dia ahitana substrate amin'ny fitaovana semiconductor misy faritra fototra sy faritra periferika;ary misy pad fatorana maromaro miforona ao amin'ny faritry ny periferika, ary ny isan'ny pad fatorana dia mamaritra ny faritra manontolo amin'ny substrate;Programmable digital logic transistor circuits miforona ao amin'ny faritra fototra ho an'ny tsirairay lojika lojika asa ao amin'ny andian-dahatsoratra;rindrankajy fampidirana sy fivoahana azo atao ao amin'ny faritra periferika;fandaharana fandaharana ampiasaina amin'ny fandaharana programmable digital logic transistor circuit Izany no nofantenana lojika lojika asa;fitaovana fampidiran-dresaka sy famoahana azo zahana, ampiasaina amin'ny fandaharana ny faritra fidirana sy fivoahana ao amin'ny faritra fidirana sy fivoahana ho an'ny fiasa lojika nomerika voafantina.
[H03K] Pulse teknolojia (fandrefesana ny pulse toetra G01R; mampiasa pulse H03C mba modulate sinusoidal oscillation; mampita vaovao nomerika H04L; ny discriminator circuit mamantatra ny dingana fahasamihafan'ny roa famantarana amin'ny alalan'ny fanisana na ny fampidirana ny oscillation vanim-potoana H03D 3/04; mandeha ho azy Control, fanombohana, fampifanarahana na fanamafisana ireo mpamokatra izay tsy misy ifandraisany amin'ny karazana oscillation elektronika na mpamokatra pulse na tsy voafaritra; matetika H03M encoding, decoding na fiovam-po kaody)[4]
Mpamorona: Lawrence E., Daty, Haingana: 16049601 tamin'ny 07/30/2018 (694 andro famoahana ny fangatahana)
Abstract: Ny fampahafantarana amin'izao fotoana izao dia mifandraika amin'ny teknolojia iray ho an'ny famatsiana herinaratra amin'ny oscillator fanaraha-maso malefaka (VCO), izay misy ny famatsiana herinaratra amin'ny fomba mihidy ary ny maody misokatra.Ao amin'ny fomba mihidy, ny faritra mpitsikilo tampon'isa dia mamaritra ny amplitude mivoaka an'ny VCO ary mampitaha izany amin'ny sandan'ny fanondroana ao amin'ny loop control gain automatique.Ny voltase fampidirana ho an'ny VCO dia voafaritra mifototra amin'ny fahasamihafana misy eo amin'ny sandan'ny fanondroana sy ny vokatra avy amin'ny circuit detector peak.Azo ampiharina amin'ny alàlan'ny fitaovana bipolar parasitika ao anaty faritra mitambatra voaforona ao anatin'ny dingan'ny CMOS ny fizaran-tsarimihetsika tampony.Rehefa miasa amin'ny fomba mihidy loop, ny controller dia manara-maso ny fidirana malefaka.Rehefa tsy miova ny voltase fampidirana, dia hampiasa ny sandan'ny volt input voafaritra amin'ny fomba open loop ny controller.
[H03L] (motera mpamokatra H02P) fanaraha-maso mandeha ho azy, fanombohana, fampifanarahana na fanamafisana ny vibration elektronika na mpamokatra pulse [3]
Mpamorona: Jonathan Knight (Yokohama, JP), Patrick Kawamura (Expo), Ross E. Teggatz (McKinney), Wayne T. Chen (Plano) Mpiasa: TRIUNE IP LLC (Plano) Toerana: Jackson Walker LLP (local + 3 metro hafa ) laharana fangatahana, daty, hafainganam-pandeha: 13851892 tamin'ny 27 martsa 2013 (2645 andro ny famoahana ny fangatahana)
Abstract: Ity lahatsoratra ity dia manoritsoritra ny rafitra fanamafisam-peo mavitrika, izay afaka mampiseho ny fiasan'ny famandrihana rafitra nohatsaraina, afaka manana fiasa famandrihana multi-input, ary afaka manatsara ny fahombiazana sy ny hafainganam-pandehan'ny fiampangana fitaovana elektronika.Ny rafitra fanamafisam-peo dynamique resonant dia mety ahitana antenne iray farafahakeliny natokana handraisana na handefasana famantarana elektromagnetika, singa iray miovaova fara-fahakeliny, ary fizaran-tany fanitsiana mavitrika iray farafahakeliny.Ny fizaran-tany fanitsiana mavitrika dia afaka manitsy ny singa miovaova, ka manova ny fahombiazan'ny fampitana herinaratra amin'ny famantarana elektromagnetika.
Mpamorona: Larry C. Martin (Allen) Mpiasa: Raytheon Company (Waltham, Massachusetts) Biraon'ny lalàna: Daly Crowley Mofford Durkee, LLP (2 birao tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: manomboka amin'ny 16151705 10/04/2018 (628) andro navoaka ny fangatahana)
Famintinana: Nambara ny fitaovana iray hanaovana fanafoanana ny fitsabahana, ao anatin'izany ny: modulator electro-optique voalohany namboarina hanovana ny famantarana RF voaray ho amin'ny famantarana mitondra optika voalohany hamokatra famantarana voalohany;ary ny hamaroan'ny fototra Loharano famantarana namboarina mba hamoronana famantarana fototra maro;fampifangaroana optique ampiarahina amin'ny fahamaroan'ny loharano famantarana fototra, ny combiner optique dia namboarina mba hanambatra ireo famantarana fototra ho famantarana mitondra optika faharoa;EO A modulator faharoa natsangana mba hanovana ny mari-pamantarana ho amin'ny famantarana mitondra optika faharoa mba hamoronana famantarana faharoa;singa fanalana, ampiarahina amin'ny modulator EO voalohany sy singa refraction, ny singa fanalana dia amboarina mba hanesorana avy amin'ny famantarana modulation voalohany.
Mpamorona: Liu Bin (San Diego, Kalifornia), Zhang Lili (Beijing, California), Richard Sterling Gallagher (San Diego, Kalifornia) Mpiasa: Futurewei Technologies, Inc. (Plano) Birao: Slater Matsil, LLP (local + 1 other city ) laharana fangatahana, daty, hafainganam-pandeha: 16226118 tamin'ny 19 Desambra 2018 (552 andro famoahana fangatahana)
Famintinana: Nofaritana ny fomba fampifanarahana ny rohy.Ny fomba dia ahitana ny fampiasana teboka serivisy voalohany hananganana mode full-duplex ho an'ny mpampiasa maro, izay ahafahan'ny mode full-duplex multiuser ahafahan'ny rohy midina mankany amin'ny fitaovana tsy misy tariby voalohany ary avy amin'ny Uplink faharoa an'ny fitaovana tsy misy tariby.Ny teboka serivisy voalohany dia mangataka avy amin'ny fitaovana tsy misy tariby voalohany famantarana ny kalitaon'ny fantsona voalohany manondro ny kalitaon'ny fantsona eo anelanelan'ny teboka serivisy sy ny fitaovana tsy misy tariby voalohany ao anatin'ny fe-potoana feno duplex ary manondro ny fantsona eo anelanelan'ny teboka serivisy sy ny fitaovana tsy misy tariby voalohany Ny faharoa fantsona kalitao famantarana ny kalitao.Ao anatin'ny vanim-potoana duplex tsy feno ilay fitaovana.Ampiasao ny tondro kalitaon'ny fantsona voalohany sy faharoa hanombantombana ny maody duplex feno.Farafaharatsiny masontsivana iray amin'ny maody duplex feno dia ahitsy araka ny fanombanana.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
System and method for long-term evolution (LTE) compatible subframe structure for broadband LTE Patent No. 10693602
Mpamorona: Anthony CK Soong (Plano), Carmela Cozzo (San Diego, California), Lukasz Krzymien (Rolling Meadows, Illinois), Philippe Sartori (Planfield, Illinois), Qian Cheng (Aurora, Illinois), Vipul Desai (Baradin), Illinois ), Xiao Weimin (Hoffman Real Estate, assignee: Futurewei Technologies, Inc. (Plano) Law Firm: Slater Matsil, LLP (local + 1 other city) application number, date , Speed: 15162202, on 05/23/2016 (1492) andro navoakan'ny fangatahana)
Famintinana: Rafitra sy fomba fandefasana fandaharam-potoana.Ny fitaovana tsy misy tariby toy ny eNodeB (eNB) dia afaka mandamina ny fandefasana ny signal wideband (WB) amin'ny microframes voafantina avy amin'ny plurality WB microframes an'ny WB carrier.Ny zana-trondro narrowband (NB) dia mety mandrakotra ny ampahany amin'ny microframe WB voafantina ao amin'ny sehatra frequence, ary ny microframe WB voafantina dia mety mandrakotra farafaharatsiny ampahany amin'ny zana-baravarankely NB ao amin'ny sehatry ny fotoana.Ny famantarana WB sy ny famantarana NB dia azo alefa amin'ny microframe WB sy ny subframe NB araka ny numerology voalohany sy ny numerology faharoa.Ny subframe WB dia azo zaraina ho microframes maro.Ny torolalan'ny fandefasana ny microframe WB dia mety ho voalamina araka ny fitsipiky ny fandefasana mifototra amin'ny votoatin'ny entana ao amin'ny subframe NB.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Brian Classon (Palatine, Illinois), Carina Lau (Palatine, Illinois), Murali Narasimha (Mount Vernon, Illinois), Qian Cheng (Naperville, Illinois), Weimin Xiao (Huo, Illinois) Fuman Estate) Mpiandraikitra: Futurewei Technologies, Inc. (Plano) Biraon'ny Lalàna: Slater Matsil, LLP (tanàna + 1 hafa) laharana fangatahana, daty, hafainganam-pandeha: 160/05/42 (8 Febroary 2018 Navoaka tamin'io andro io ihany, 691 andro hampiharana)
Famintinana: Ny vondrona mpitatitra singa mitambatra dia azo atokana ho an'ny fitaovan'ny mpampiasa (UE) ho an'ny fanangonam-bokatra sy/na fifantenana mpitatitra.Ny UE sasany dia mety tsy afaka mampita famantarana uplink amin'ny mpitatitra singa rehetra ao amin'ny vondron'ny mpitatitra singa voatendry.Amin'ny toe-javatra toy izany, ny UE dia mety mila manao SRS switch mba handefasana marika SRS amin'ny mpitatitra singa rehetra.Ny fanehoana an'ity fanambarana ity dia manome teknika isan-karazany hanamorana ny fandefasana SRS.Ohatra, ny hafatra radio resource control (RRC) dia azo ampiasaina hanamarihana ny mari-pamantarana fanamafisam-peo SRS.Ohatra iray hafa, ny hafatra fanaraha-maso ambany (DCI) dia azo ampiasaina hanamarihana ny mari-pamantarana fanamafisam-peo SRS aperiodic.Ohatra maro hafa no omena.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Sean McBiss (Owen), Cai Zhijun (Owen) Mpiasa: Guangdong OPPO Mobile Communications Co., Ltd. (Tanànan'i Dongguan, Faritanin'i Guangdong) Biraon'ny lalàna: Finnegan, Henderson, Farabow, Garrett Dunner, LLP (birao 9 tsy eo an-toerana ) Laharana fangatahana, daty, hafainganam-pandeha: 16007835 amin'ny 13 Jona 2018 (741 andro ny daty fampiharana)
Famintinana: Fomba iray amin'ny fanodinana fantsona fanaraha-maso amin'ny mpampiasa mpampiasa (UA) mba hamantarana farafaharatsiny iray amin'ireo loharano miakatra sy midina natokana amin'ny alàlan'ny famatsiam-bola amin'ny rafi-pifandraisana misy mpitatitra maro, izay fehezin'ny fantsona fanaraha-maso Element fanendrena ( CCE) mpirotsaka an-tsitrapo.Ity fomba ity dia ahitana ireto dingana manaraka ireto: mifototra amin'ny isan'ny mpitatitra voarindra ampiasaina hifandraisana amin'ny fitaovana fidirana, famantarana ny isan'ny mpitatitra voarindra ampiasaina hifandraisana amin'ny fitaovana fidirana, famantarana ny isan'ny mpitatitra voafantina ary ny isan'ny subset CCE ireo kandidà voadika dia nodikao ho isan'ny mpilatsaka ho fidiana CCE sasany amin'ny fiezahana hamantatra ny famatsiam-bola.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Manu Kurian (Dallas) Mpiasa: Bank of America Corporation (Charlotte, Caroline Avaratra) Biraon'ny lalàna: Banner Witcoff, Ltd. Navoaka ny fangatahana 847 andro)
Abstract: Ny lafiny amin'ny fampahafantarana amin'izao fotoana izao dia mifandraika amin'ny rafitra sy fomba fiasa maro amin'ny solosaina ho an'ny fanamarinana ny angona sy ny fanatanterahana hetsika.Ny fitaovana informatika feno node ao amin'ny tambajotra (anisan'izany ny fanamarinana angon-drakitra sy ny sehatra informatika famonoana hetsika) dia afaka mahazo ny sakana sy ny famantarana mifandraika amin'ny angon-drakitra fanamarinana voarakitra ao amin'ny blockchain.Ny sehatra informatika dia afaka manadihady ny angon-drakitra tafiditra ao amin'ny blockchain mba hamaritana fa tsy maintsy raisina ny famantarana iray hafa ho an'ny fanamarinana angon-drakitra.Ny sehatra informatika dia afaka mamorona fangatahana ny famantarana faharoa ary mandefa ny fangatahana amin'ny fitaovana tambajotra mety.Ny fitaovana tambajotra dia afaka manaiky na mandà ny fangatahana ny mari-pamantarana fanamarinana.Raha misy fitaovana mifanaraka amin'izany manamarina ny angon-drakitra ao amin'ny blockchain amin'ny fanomezana famantarana, dia azo tanterahina ny hetsika mifandraika amin'izany.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Ny fomba sy ny fitaovana amin'ny fandrakofana serivisy mifototra amin'ny fandrefesana amin'ny alàlan'ny fandrefesana ny tokonam-baravarana mavitrika miaraka amin'ny laharana patanty 10693713
Mpamorona: Lei Hongyan (fiaramanidina) Mpiasa: ATT Intellectual Property I, LP (Atlanta, Georgia) Biraon'ny lalàna: Gustin Gust, PLC (toerana tsy hita) laharana fampiharana, daty, hafainganam-pandeha: 16282372, daty: 02/22/2019 (Apps navoaka tao anatin'ny 487 andro)
Famintinana: Ny lafin'ny famoronana amin'izao fotoana izao dia mety ahitana, ohatra, ny famantarana ny fampiharana voalohany nataon'ny fitaovan'ny mpampiasa iray, ny kajy ny metrika voalohany mifandray amin'ny fifandraisana misy eo amin'ny fitaovan'ny mpampiasa sy ny tobin'ny toby amin'ny alalan'ny tambajotra voalohany, ary ny fampitahana ny metrika voalohany amin'ny Ampitahao ny metrika voalohany.Ny fitaovan'ny mpampiasa dia manatanteraka metrika faharoa mifandray amin'ny fampiharana voalohany, ary ho setrin'ny fampitahana, dia mahatonga ny fitaovan'ny mpampiasa hifandray amin'ny tobin-toby amin'ny alàlan'ny tambajotra faharoa tsy mitovy amin'ny tambajotra voalohany.Aseho ny embodiments hafa.
Mpamorona: Basavaraj Patil (Dallas) Mpiasa: ATT Mobility II LLC (Atlanta, Georgia) Biraon'ny lalàna: Kilpatrick Townsend sy Stockton LLP (birao tsy an-toerana 14) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15991876, 2005/29/2018 ( Navoaka ny fangatahana 756 andro)
Abstract: Ity lahatsoratra ity dia manambara ny teknolojia ampiasaina amin'ny fitantanana fitaovana mampiasa blockchain.Ny fampahalalana momba ny fitaovana dia azo tehirizina ao amin'ny ledger blockchain amin'ny rafitra informatika izay ao anatin'ny rafitra blockchain.Ny rafitra informatika dia mety ahitana fitadidiana, interface tsara, ary processeur.Ny fahatsiarovana dia mety mitahiry ampahany amin'ny ledger blockchain ampiasaina amin'ny fitantanana fitaovana marobe, izay ahitana sakana maromaro ny ledger blockchain, ny sakana tsirairay dia misy fifampiraharahana marobe, ary ny fifampiraharahana tsirairay dia mifandray amin'ny iray amin'ireo fitaovana.Ny fifandraisana amin'ny tambajotra dia afaka mahazo fifampiraharahana, izay ahitana ny famantarana tokana sy ny fampahalalam-baovao momba ny fitaovana.Ny processeur dia afaka mamaritra fa ny mpizara informatika dia nahazo alalana hamoaka fifampiraharahana mba hanavaozana ny ledger blockchain ary hampiasa ny fifampiraharahana hanavaozana ny ledger blockchain.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Paparao Palacharla (Richardson) Mpiasa: FUJITSU LIMITED (Kawasaki City, JP) Biraon'ny lalàna: Maschoff Brennan (birao tsy an-toerana 5) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15802412, daty 11/02/2017 (Navoaka nandritra ny 964 andro ny fampiharana )
Famintinana: Ny fomba iray dia mety ahitana ny fahazoana fitsipika fanodinana packet avy amin'ny firewall iray farafahakeliny ao amin'ny tambajotra ary fara-faharatsiny iray latabatra fitetezana ao amin'ny tamba-jotra, ary ny famadihana ny fitsipiky ny fanodinana fonosana ho rafitra angona kanônika mifototra amin'ny laharam-pahamehana amin'ny latabatra na fitsipika arahina. amin'ny tambajotra.Nomena firewall.Ny rafitra angon-drakitra kanônika tsirairay dia mety maneho ny ampahany amin'ny fonosana voakasika amin'ny fitsipika fanodinana fonosana iray na maromaro mifanaraka amin'izany, ka ny fitsipika fanodinana fonosana tsirairay dia voarakotry ny rafitra angona kanonika iray farafahakeliny.Ny fomba dia mety ahitana ihany koa ny famoronana fanehoana an-tsary ny firewalls sy ny nodes mifanaraka amin'ny routing latabatra ao amin'ny tambajotra.Ny fomba dia mety ahitana ihany koa ny fanamarihan'ny vertices sy ny sisiny ao amin'ny fanehoana an-tsary mifototra amin'ny fitsipika fanodinana vondrona.Ny fomba dia mety ahitana ihany koa ny fampiasana ny fanehoana an-tsary hanamarinana ny toetran'ny tambajotra iray na maromaro mba hamantarana ny olan'ny tambajotra.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Amit Kumar (花場) mpanome: salesforce.com, inc.(San Francisco, Californie) Biraon'ny lalàna: Kowert, Hood, Munyon, Rankin Goetzel, PC (birao 1 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15414612 amin'ny 2017/1/24 (1246 andro no navoaka ny fangatahana)
Abstract: Famoahana ny teknolojia mifandraika amin'ny fitaovana azo idirana amin'ny tambajotra diagnostika.Ny solosaina voalohany dia mety mitahiry fampahalalana momba ny fanomezan-dàlana mifandray amin'ny fitaovana informatika azo idirana amin'ny tambajotra mifandray amin'ny mpampiasa.Ny rafitra informatika voalohany dia mety hahazo fangatahana avy amin'ny mpampiasa hanao fandidiana diagnostika avy amin'ny rafitra informatika faharoa, ny fandidiana diagnostika mifandraika amin'ny fifandraisana misy eo amin'ny rafitra informatika fahatelo sy fitaovana iray manokana eo amin'ireo fitaovana informatika azo idirana amin'ny tambajotra.Ny rafitra informatika voalohany dia mety mangataka ny rafitra informatika fahatelo haka vaovao momba ny diagnostika avy amin'ny fitaovana informatika azo idirana amin'ny tambajotra manokana ary hanao fandidiana fitiliana mifototra amin'ny fahazoan-dàlana asehon'ny fampahalalana fanomezan-dàlana voatahiry.Ny rafitra solosaina voalohany dia mety hahazo fampahalalana momba ny vokatra mifandraika amin'ny fandidiana fitiliana avy amin'ny rafitra solosaina fahatelo.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Srinivas Lingam (Dallas), Tarkesh Pande (Richardson) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny Lalàna: Tsy misy Laharana fangatahana Torohevitra, Daty, Haingana: 16101649 tamin'ny 08/13/2018 (680 andro) Famoahana fampiharana)
Famintinana: Fomba iray handefasana fonosana eo anelanelan'ny node voalohany sy ny node faharoa.Ny fonosana dia misy ny angon-drakitra ary ny ampahany amin'ny fampahalalana alohan'ny angon-drakitra.Ny fomba dia ahitana: (i) voalohany, famantarana ny kalitaon'ny fantsona eo anelanelan'ny node voalohany sy ny node faharoa;(ii) faharoa, ho valin'ny kalitaon'ny fantsona, fifantenana ny fomba fifandraisana amin'ny fampahalalana alohan'ny entana entana;(iii) ) Fahatelo, amboary ny fomba fifandraisana voafantina ao amin'ny ampahany fampahalalana alohan'ny entana;(iv) Fahefatra, alefaso ny fonosana avy amin'ny node voalohany mankany amin'ny node faharoa.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Keith William Melkild (Allen) Mpiasa: Open Invention Network LLC (Durham, Caroline Avaratra) Biraon'ny Lalàna: Tsy misy Laharan'ny Fampiharana Torohevitra, Daty, Hafainganam-pandeha: 16200611 amin'ny 11/26/2018 (famoahana 575 andro)
Famintinana: Ny fampandehanana ohatra dia mety ahitana rafitra iray ahitana iray na maromaro amin'ireto manaraka ireto: fandraisana fampandrenesana ny famerenana amin'ny laoniny ny sata VNFCI miaraka amin'ny sata mavitrika, ny famerenana ny mari-pamantarana rehefa niova ho mavitrika ny satan'ny VNFCI, ary ny famerenana ny fotoana nahatonga ny satan'ny VNFCI mitovy amin'izany. niova ho Stamp mavitrika, jereo miaraka amin'ny VIM mba hamaritana raha mitoka-monina ny tamba-jotra VNFCI ao amin'ny fanjakana mavitrika, jereo miaraka amin'ny VIM mba hamaritana raha mitoka-monina ao amin'ny fanjakana mavitrika ny tamba-jotra VNFCI, ary mandefa backup amin'ny peer VNFCI amin'ny iray na bebe kokoa amin'ireto toe-javatra manaraka ireto Ny hafatra fangatahana fanovàna fanjakana voalohany an'ny fanjakana: mitoka-monina izy io, ary ny VNFCI dia tsy mitoka-monina, ary ny hafatra fangatahana fanovana fanjakana faharoa dia alefa any amin'ny VNFCI mpiara-mianatra tsy tapaka amin'ny iray na maromaro amin'ny toe-javatra manaraka, izany hoe, ny angon-drakitra standby: VNFCI Tsy ny safidy hafa safidy, ary ny peer VNFCI dia tsy tambajotra mitoka-monina, ary ny VNFCI dia tsy tambajotra mitoka-monina, amin'ny iray na maromaro amin'ireto tranga manaraka ireto, atombohy ny fameram-potoana famerenana voalohany ho an'ny ny peer VNFCI: mandefa ny fanovana fanjakana voalohany Ny hafatra fangatahana sy ny hafatra fangatahana fanovana fanjakana faharoa dia alefa, ary ny hafatra fangatahana fanovana fanjakana fahatelo miaraka amin'ny fanjakana mijanona dia alefa any amin'ny VNFCI amin'ny iray na maromaro amin'ireto tranga manaraka ireto: ny VNFCI dia ny instance standby tiana, ary ny peer VNFCI dia Ny tambajotra dia mitoka-monina, ary ny VNFCI dia mitoka-monina amin'ny tambajotra, ary ny fangatahana fanovana fanjakana fahefatra dia alefa rehefa iray na maromaro amin'ireto manaraka ireto: ny peer VNFCI dia tsy mitoka-monina amin'ny tambajotra, ary ny VNFCI dia mitoka-monina amin'ny tambajotra, ary iray na maromaro amin'ireto manaraka ireto: Alefaso ny fanjakana fahatelo Rehefa manova ny hafatra dia atombohy ny fameram-potoana famerenam-potoana faharoa ho an'ny VNFCI, ary mandefa hafatra backup amin'ny VNFCI,
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Mena Gerges (Fort Worth), Ramakrishnan Balachandran (Fort Worth), Ryan Hightower (Roanoke) Mpiandraikitra: FMR LLC (Boston, Massachusetts) Biraon'ny lalàna: Proskauer Rose LLP (4 birao tsy eo an-toerana) Laharana fampiharana, daty, hafainganam-pandeha: 15672203 tamin'ny 8 aogositra 2017 (1050 andro nanaovana fangatahana)
Abstract: Manoritsoritra ny fomba sy ny fitaovana ho an'ny fanovana ny fanamarinana mandeha ho azy amin'ny fivoriana chat an-tserasera.Ny mpizara dia mahazo fangatahana fananganana fifampiresahana amin'ny Internet avy amin'ny fitaovana mpanjifa voalohany, ao anatin'izany ny fanamarinana fanamarinana mifandraika amin'ny fitaovana mpanjifa voalohany.Ny mpizara dia mametraka fifampiresahana amin'ny Internet eo anelanelan'ny fitaovana mpanjifa voalohany sy ny fitaovana mpanjifa faharoa amin'ny alàlan'ny fantsom-pifandraisana voamarina voalohany.Ny mpizara dia mampiasa ny fantsom-pifandraisana voamarina voalohany handefasana hafatra amin'ny chat iray na maromaro eo anelanelan'ny fitaovana mpanjifa voalohany sy ny fitaovana mpanjifa faharoa.Ny fitaovana mpanjifa voalohany dia mamaritra fa very ny fanamarinana ny fivoriana amin'ny chat an-tserasera.Ny fitaovana mpanjifa voalohany dia mamadika ho azy ny fivoriana amin'ny chat an-tserasera mankany amin'ny fantsom-pifandraisana faharoa tsy voamarina.Ny mpizara dia mitazona ny fivoriana amin'ny chat an-tserasera napetraka eo amin'ny fitaovana mpanjifa voalohany sy ny fitaovana mpanjifa faharoa amin'ny alàlan'ny fantsom-pifandraisana faharoa tsy voamarina.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Karun Kumar Chennuri (Bellevue, WA) Mpiasa: Futurewei Technologies, Inc. (Plano) Biraon'ny lalàna: Schwegman Lundberg Woessner, PA (birao tsy an-toerana 11) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15446943 amin'ny 03/01/2017 ( 1210 andro navoaka ny fangatahana)
Abstract: Manome fitaovana sy fomba hanamarinana famantarana famantarana.Amin'ny fampiasana, ny angon-drakitra dia mitahiry fampahalalana momba ny fampiasana serivisy mifandraika amin'ny fampiasana serivisy iray farafahakeliny ampian'ny mpizara iray farafahakeliny.Alohan'ny handraisana fangatahana serivisy iray farafahakeliny avy amin'ny mpampiasa amin'ny lohamilina iray farafahakeliny, dia idirana ny fampahalalana momba ny fampiasana serivisy ao amin'ny angon-drakitra.Ho fanampin'izany, mifototra amin'ny fampahalalana momba ny fampiasana serivisy, ny famantarana mifandray amin'ny mpampiasa dia alefa any amin'ny mpizara iray farafahakeliny mba hohamarinin'ny mpizara iray farafahakeliny, mba hahafahan'ny mpizara iray farafahakeliny miditra amin'ny serivisy iray farafahakeliny.Ho setrin'ny fangatahana serivisy iray farafahakeliny voaray avy amin'ny mpampiasa miaraka amin'ny famantarana hafa amin'ny marika.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Manu Kurian (Dallas) Mpiasa: Bank of America Corporation (Charlotte, NC) Biraon'ny lalàna: Weiss Arons LLP (birao 2 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15822460, 11/27 / 2017 (navoaka 939 andro tamin'ny fampiharana)
Abstract: Manome rafitra, fomba ary fitaovana hanamarinana sy fanamarinana ny fifandraisana elektronika.Ny rafitra, ny fitaovana ary ny fomba dia mamaritra hoe iza amin'ireo mailaka mampidi-doza ary iza no mailaka tsara.Ny rafitra, ny fitaovana ary ny fomba dia manasivana mailaka maloto amin'ny mailaka tsy misy ratsy.Ny rafitra, ny fitaovana ary ny fomba dia manakana ny fahazoana fifandraisana be dia be tsy nangatahana sy/na hafa tsy ilaina.Ny rafitra, ny fitaovana ary ny fomba dia manamarina ny mombamomba ny mpandefa ny fifandraisana elektronika.Ny rafitra, ny fomba ary ny fitaovana dia mety ahitana fanamarinana biometrika.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Kaippallimalil Mathew John (Carrollton), Khosrow Tony Saboorian (Plano), Mazin Ali Al-Shalash (Frisco), Tushar Chouhan (Plano), Ulas Can Kozat (Mountain View, California) Mpiandraikitra: Futurewei Technologies, Inc. (Plano) Biraon'ny lalàna: Slater Matsil, LLP (tanàna + 1 hafa) laharana fangatahana, daty, hafainganam-pandeha: 16/01893 (navoaka tamin'ny 1 Janoary 2018), 631 andro ny fotoana fampiharana
Famintinana: Araka ny lafiny iray amin'ny fampahafantarana amin'izao fotoana izao dia misy fomba fiasa tambajotra virtoaly omena, ao anatin'izany ny: fandraisana ny fangatahana voalohany avy amin'ny fitaovan'ny mpampiasa (UE), ny fangatahana voalohany ahitana ny mari-pamantarana voalohany sy ny famantarana voalohany, ary ny baiko voalohany karatra dia sandan'ny vector mifanaraka amin'ny toetry ny session an'ny UE;ny toetry ny fivoriana ao amin'ny UE dia voafaritra araka ny famantarana voalohany;ny toetry ny fanavaozana ny programa amin'ny loharanon-tambajotra dia voarindra araka ny toetry ny session, ka rehefa misy ny loharanon-tambajotra Rehefa nohavaozina ny fanjakana, dia nohavaozina ny toetry ny session an'ny UE;miteraka famantarana faharoa mifanaraka amin'ny toetry ny fivoriana nohavaozina ho an'ny UE;mitahiry ny toetry ny fivoriana nohavaozina sy ny famantarana faharoa;mandefa ny famantarana faharoa amin'ny UE.
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Mpamorona: Stephen Hodge (Aubrey) Mpiasa: Global Tel * Link Corporation (Reston, Virginia) Biraon'ny lalàna: Sterne, Kessler, Goldstein Fox PLLC (birao tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15937233 amin'ny 03/27/2018 (Navoaka ny fangatahana 819 andro)
Abstract: Ny rafitra fifandraisana amin'ny tontolo iainana voafehy dia mampiasa Voice over Internet Protocol (VoIP) mba hanomezana tolotra ho an'ny mpampiasa.Ny VoIP dia ahafahan'ny feo alefa ao anaty fonosana, izay misy ny horonam-peo amin'ny alàlan'ny codec iray.Noho ny fetran'ny bandwidth, indrindra mandritra ny fotoana fiantsoana avo indrindra, dia azo ampiasaina ny codec, izay manao sorona ny kalitaon'ny feo ho an'ny fahombiazan'ny bandwidth.Vokatr'izany, ny fiasa sasany amin'ny rafi-pifandraisana dia misy fiasa fiarovana manan-danja.Ny fampahafantarana amin'izao fotoana izao dia manome antsipiriany momba ny rafitra sy ny fomba ahafahan'ny rafi-pifandraisana mifehy ny tontolo iainana afaka mifamadika eo amin'ny codec mba hampiharana ireo endri-javatra mifandraika amin'ny fiarovana na hanalefahana ny fiheverana ny bandwidth.Tafiditra ao anatin'izany ny endrika manokana amin'ny hafatra famantarana famantarana, ao anatin'izany ny Session Initiation Protocol (SIP) sy ny Session Description Protocol (SDP).
[H04L] Fandefasana vaovao nomerika, toy ny fifandraisan-davitra (fandrindrana iraisana ho an'ny fifandraisan-davitra sy fifandraisana an-telefaona H04M) [4]
Rafitra sy fomba fiasa amin'ny serivisy tsy misy tariby blockchain amin'ny tontolo voafehy Patent No. 10694032
Mpamorona: Brian Francis Byrne (Owen), Michael Francis Byrne (Owen) Mpiasa: UNSSIGNED Law Firm: Tsy misy laharana fangatahana torohevitra, daty, hafainganam-pandeha: 16517620 amin'ny 07/21/2019 (navoaka 338 andro))
Abstract: Manome fomba hanomezana serivisy tsy misy tariby blockchain amin'ny tontolo voafehy.Ny fomba dia ahitana fitaovana fifandraisana an-tariby mifandray amin'ny voafonja ao amin'ny tontolo voafehy, ny fitaovana fifandraisana voafehy mandray iray amin'ny feo sy video antso avy amin'ny fitaovana ivelan'ny tontolo voafehy.Ny fomba dia ahitana ihany koa ny fitaovana mametraka ny antso voaray, ary mandefa fangatahana fanamarinana ny antso amin'ny alalan'ny blockchain, ny fangatahana farafaharatsiny hamantarana ireo antoko amin'ny antso.Ny fomba fanampiny dia ahitana: aorian'ny nahazoan'ny fitaovana ny fanamafisana, ny fanesorana ny antso amin'ny fanjakana voatazona;ary manome fahafahana iray farafahakeliny amin'ny feo sy horonan-tsary ho an'ny antoko.Ny fomba dia ahitana ihany koa ny fitaovana fifandraisana an-tariby mandefa fangatahana fanamarinana amin'ny mpizara fifandraisan-davitra, ary ny mpizara dia manao fanaraha-maso amin'ny fotoana tena izy ny antso.Ny fomba dia ahitana ihany koa ny fitaovana firaketana an-tsoratra tsy tapaka antso.
[H04M] Fifandraisana an-telefaonina (ampiasaina hifehezana fitaovana hafa amin'ny alàlan'ny tariby an-telefaona ary tsy tafiditra ao anatin'ny fizaran'ny fitaovana famadihan-telefaonina G08)
Mpamorona: Jonatan Samuelsson (Stockholm, SE), Rickard Sjberg (Stockholm, SE) Mpiandraikitra: Velos Media, LLC (Dallas) Biraon'ny lalàna: Grable Martin Fulton, PLLC (local + 1 hafa tanàna) Laharana fampiharana, daty , Haingana: 16380613 amin'ny 10 Oktobra 2019 (440 andro famoahana ny fangatahana)
Famintinana: Esory ny famantarana ny halavany mifandray amin'ny fanehoana voakodia amin'ny silaka.Ny famantarana ny halavany dia manondro ny halavan'ny saha fanitarana misy ao amin'ny lohatenin'ny sarin'ny fanehoana kaody.Ny decoder dia afaka manapa-kevitra ny tsy hiraharaha izay soatoavina amin'ny saha fanitarana ao amin'ny lohatenin'ny silaka mandritra ny famadihana ny fanehoana voakodia, izay ahitana ireo soatoavina ireo mifototra amin'ny famantarana ny halavany.Vokatr'izany, ny saha fanitarana dia azo ampiana amin'ny lohatenin'ny slice ary mbola ahafahan'ny decodeur nentim-paharazana hamadika tsara ny fanehoana voakodia.
Mpamorona: Rahmi Hezar (Allen), Rajan Narasimha (Dallas), Srinath Ramaswamy (Murphy) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 15584532, daty: 05/05 02/2017 Navoaka ny fangatahana 1148 andro)
Famintinana: Ny ohatra iray dia ahitana rafitra misy mpandahateny, amplifier, sensor amin'izao fotoana izao, ary circuit compensator.Mamokatra feo ny mpandahateny ho setrin'ny famantarana fampidiran-dresaka analoga nohamafisina azo tamin'ny fampidiran'ny mpandahateny.Ny fanamafisam-peo dia mandray ny mari-pamantarana fampidiran-peo analogue ary manome ny famantarana fampidiran-peo analoga nohamafisina amin'ny fampidiran'ny mpandahateny.Ny sensor amin'izao fotoana izao dia mahatsapa ny courant amin'ny alàlan'ny mpandahateny ary manome famantarana sensor amin'izao fotoana izao manondro izany.Ny circuit compensator dia mampihatra asa famindrana amin'ny mari-pamantarana sensor amin'izao fotoana izao mba hanomezana ny mari-pamantarana fanonerana ho toy ny tamberina amin'ny famantarana fampidiran-peo analoga, ny fiasa famindrana mifanaraka amin'ny iray amin'ireo fanoherana sy inductance an'ny mpandahateny.
[H04R] Fanamafisana fanamafisam-peo, mikrô, fakana grafofaonina na sensor elektrika mitovy amin'izany miaraka amin'ny maotera acoustic;vokatra fanampiny ho an'ny marenina;rafitra adiresy ho an'ny daholobe (ny fatran'ny feo vokarina dia tsy miankina amin'ny famatsiana herinaratra matetika G10K) [6]
Misoroka ny fifandirana sy ny fahaverezan'ny fifandraisana amin'ny fitaovana tambajotra manompo tambajotra maro Patent No. 10694359
Mpamorona: Chen Loewy (Herzliya, Illinois), Dotan Ziv (Tel Aviv, Illinois), Liran Brecher (Kfar Saba, Illinois), Matan Ben-Shachar (Kibz, Illinois, Jiwat Heim Yihu Germany), Omri Eshel (Kibbutz Harel, IL ), Yuval Jakira (Tel Aviv, IL) Mpiasa: TEXAS INSTRUMENTS INCORPORATED (Dallas) Biraon'ny Lalàna: Tsy misy Laharana Fampiharana Torohevitra, Daty, Haingana: 16035482 tamin'ny 07/13/2018 (fampiharana navoaka tao anatin'ny 711 andro)
Famintinana: Fitaovana tambajotra izay mampiasa fe-potoana roa na maromaro ho an'ny hetsika fampitana mba hanompoana tamba-jotra roa na maromaro ary natao hamaritana fa misy fotoana tsy tapaka amin'ny tambajotra iray dia efa na mifandray amin'ny tambajotra iray hafa. mitranga amin'ny alàlan'ny fanodinana ny mari-pamantarana ny hetsika tsirairay ao amin'ny tambajotra.Ny iray amin'ireo slot ara-potoana tsindraindray dia azo ovaina amin'ny habetsahan'ny fiovan'ny fotoana mba hialana amin'ny fifandonana eo amin'ny slot ara-potoana isaky ny tambajotra.Ny fiovaovan'ny fe-potoana ara-potoana dia azo atao amin'ny alàlan'ny fandefasana fonosana fanavaozam-panavaozana parameter fifandraisana Bluetooth.
Ny onjam-peo milimetatra (mmWave) dia manarona ny laharana patanty 10694395 amin'ny serivisy onjam-peo sivily (CBRS) ho an'ny fametrahana tsy misy tariby (NGFW) amin'ny taranaka manaraka.
Mpamorona: Tony Wah-Tong Wong (Dallas) Mpiasa: ATT INTELLECTUAL PROPERTY I, LP (Atlanta, Georgia) Biraon'ny lalàna: Amin, Turocy Watson, LLP (birao tsy an-toerana 2) Laharana fampiharana, daty, hafainganam-pandeha : 16532561 amin'ny 6 Jona , 2019 (navoaka nandritra ny 322 andro ny fangatahana)
Famintinana: Nisy overlay misy sela millimeter wave (mmWave) -nampiana ao amin'ny tambajotra Citizen Broadband Radio Service (CBRS) mba hanomezana fandrakofana amin'ny tambajotra tsy misy tariby (NGFW) taranaka manaraka.Ny spektrum onjam-peo milimetatra dia voafetra ny fahafaha-manao ary azo ampiasaina ho toy ny backhaul tsy misy tariby ho an'ny hops manaraka.Amin'ny lafiny iray, dia azo apetraka miaraka amin'ny receiver mirindra amin'ny alàlan'ny fifindran'ny onja millimeter amin'ny hop faharoa (sy/na manaraka).Ho fanampin'izany, ny IAFHN dia afaka manamora ny fandaharam-potoana fitsinjarana loharanon-karena amin'ny rojo fidirana sy backhaul (IAB).Ho fanampin'izay, azo ampitomboina ny fifandraisana misy eo amin'ireo teboka fidirana amin'ny macro mba hahazoana ny famatsiana loharanon-karena adaptive amin'ny rojo IAB.Amin'ny lafiny iray, ny fitaovana mpampiasa raikitra (UE) dia azo amboarina miaraka amin'ny fifandraisana roa (DC), ary ny mpandraharaha amin'ny tambajotra dia afaka manome sosona serivisy samihafa mifototra amin'ny toerana misy ny UE.
Mpamorona: Devaki Chandramouli (Plano) Mpiasa: Nokia Solutions and Networks (Espoo, FI) Law Firm: Squire Patton Boggs (USA) LLP (birao 13 tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 2015 15542709 (navoaka tamin'ny 1988) navoaka tamin'ny 13 Janoary 2015
Famintinana: Manome fomba iray, ao anatin'izany: ny vondrona manome dia manome serivisy voalohany ary serivisy faharoa ho an'ny mpampiasa voalohany sy faharoa;fanaraha-maso raha miasa amin'ny fomba mitoka-monina na amin'ny fomba mifandray ilay vondrona mpamatsy;farafahakeliny iray amin'ny fanaraha-maso ny serivisy sy ny fanaraha-maso ny mpampiasa, izay ahitana ny fanaraha-maso ny serivisy: amin'ny fomba mitoka-monina, mandrara ny vondrona manome ny serivisy faharoa amin'ny mpampiasa voalohany sy faharoa;manakana ny sampan-draharaha mandrara tsy hanome ny serivisy voalohany ho an'ny mpampiasa iray farafahakeliny;Ny fanaraha-maso dia ahitana: amin'ny fomba mitoka-monina, mandrara ny vondrona mpamatsy tsy hanome ny serivisy voalohany sy faharoa ho an'ny mpampiasa faharoa;manakana ny vondrona mandrara tsy hanome tolotra iray farafahakeliny ho an'ny mpampiasa voalohany.
Fitaovana sy fomba fametrahana ny tsingerin'ny adidin'ny oscillator eo an-toerana mifototra amin'ny haavon'ny fanodikodinana sary Patent No. 10694405
Mpamorona: Hong Jiang (Kernersville, Caroline Avaratra), Wael Al-Qaq (Oak Ridge, Caroline Avaratra) Mpiasa: Futurewei Technologies, Inc. (Plano) Orinasa Mpahay lalàna: Vierra Magen Marcus LLP (2 birao tsy eo an-toerana) Laharana fampiharana, daty , hafainganam-pandeha: 15343095 tamin'ny 3 Novambra 2016 (1328 andro ny famoahana ny fangatahana)
Abstract: Manome fitaovana sy fomba fametrahana ny tsingerin'ny adidin'ny oscillator eo an-toerana mifototra amin'ny haavon'ny fanodikodinana sary.Ny lalana X-phase voalohany amin'ny mpandefa dia ampiasaina handefasana ny famantarana voalohany.Ankoatra izany, ny haavon'ny fanodinkodinana sary dia refesina miaraka amin'ny famantarana voalohany.Miorina amin'io fandrefesana io, ny tsingerin'ny adidy amin'ny oscillator eo an-toerana dia napetraka mba hampihenana ny fanodikodinana mifandraika amin'ny fampiasana ny lalan'ny Y-phase faharoa amin'ny mpandefa mba handefasana ny famantarana faharoa.
Mpamorona: Joonbeom Kim (Carrollton) Mpiasa: Apple Inc. (Cupertino, Kalifornia) Biraon'ny lalàna: Kowert, Hood, Munyon, Rankin Goetzel, PC (birao tsy an-toerana 1) Laharan'ny fangatahana , Daty, hafainganam-pandeha: 16079756 tamin'ny 24 Oktobra 2016 (1338 andro navoaka ny fangatahana)
Abstract: Famaritana amin'ny ankapobeny ny rafitra sy ny fomba hanomezana fifandraisana eo amin'ny UE.Amin'ny fampiasana karapanondrom-pahitana nofantenana avy amin'ny karapanondrom-pahitana voafetra voatahiry ao amin'ny UE hafa, dia misy loharanom-pampandrenesana milaza ny fifindran'ny hafatra hita manaraka avy amin'ny UE mankany amin'ny UE hafa.UE iray hafa mandefa fangatahana fidirana kisendrasendra amin'ny UE miaraka amin'ny ID vonjimaika.Raha efa nampiasaina ny ID vonjimaika, dia mety tsy hamaly ny UE, na mety handefa fampahafantarana fampitana angon-drakitra nopotehin'ny ID vonjimaika.UE iray hafa mandefa PDU famahana fifandirana amin'ny UE, ary mety hahazo ACK mba hanondroana fa tsy misy fifandirana ID, na tsy misy valiny na NACK manondro ny fisian'ny fifandirana ID.Ny UE hafa dia afaka misafidy ID vonjimaika vaovao, na mampiasa ny fameram-potoana miverina hamerenana ny fangatahana fidirana kisendrasendra amin'ny fotoana kisendrasendra.
Ny fifantenana ny tambajotra sy ny fomba fidirana kisendrasendra ary ny fitaovana amin'ny fitaovana ampiasaina amin'ny fifandraisana amin'ny milina amin'ny rafi-pifandraisana finday Patent No. 10694456
Mpamorona: Wu Wenlong (Richardson) Mpiasa: Samsung Electronics Co., Ltd. (Suwon, Korea Atsimo) Biraon'ny lalàna: Birao momba ny lalàna momba ny fananan-tsaina Jefferson (birao tsy eo an-toerana) Laharan'ny fangatahana: Daty, hafainganam-pandeha: 22 Oktobra 2018 16166756 amin'ny andro (navoaka nandritra ny 610 andro)
Famintinana: Manome fitaovana hifidianana tambajotra mivoatra maharitra (LTE), fomba fidirana kisendrasendra, ary fitaovana mpampiasa (UE) ho an'ny fifandraisana amin'ny karazana milina (MTC).Ny fomba fisafidianana sela amin'ny terminal MTC amin'ny fampahafantarana amin'izao fotoana izao dia ahitana: fandraisana hafatra avy amin'ny tobim-piantsonana mandrafitra ny sela;hamaritana raha toa ka misy famantarana ny fahafahan'ny fanohanana MTC ny hafatra;ary rehefa tsy tafiditra ao amin'ny hafatra ny famantarana ny fahaiza-manaon'ny MTC, dia voarara ny fitarafana ny matetika ampiasaina.Mifandraisa amin'ny toby fiantsonana ao anatin'ny fe-potoana voafaritra.
Mpamorona: Carina Lau (Palatine, Illinois), Qian Cheng (Naperville, Illinois), Minmin Xiao (Hoffman Estate, Illinois) Mpiasa: Futurewei Technologies, Inc. laharan'ny fangatahana, daty, hafainganam-pandeha: 16538331 amin'ny 12 Desambra 2019 (takina havoaka mandritra ny 316 andro ny fangatahana)
Famintinana: Fomba iray amin'ny fampiasana fitaovana ampiasain'ny mpampiasa (UE), ao anatin'izany ny: fandraisana famantarana iray na maromaro midina (DL) amin'ny firafitry ny vondrona voalohany, iray na maromaro mivelatra mifehy ny herin'ny fandrindrana ny vondrona faharoa (PC), ny fanamafisana ny vondrona fahatelo amin'ny masontsivana PC iray na maromaro mihidy na ny firafitry ny vondrona fahefatra amin'ny fanjakana loopina iray na maromaro, mandray ny firafitry ny fandrindrana PC, izay ny firafitry ny PC dia ampahany amin'ny vondrona voalohany , Farafaharatsiny iray amin'ny ampahany amin'ny ny vondrona faharoa, ny ampahany amin'ny vondrona fahatelo, na ny ampahany amin'ny vondrona fahefatra dia mifidy ny haavon'ny herin'aratra araka ny firafitry ny PC sy ny fahaverezan'ny lalana, izay ny fahaverezan'ny lalana dia mifototra amin'ny famantarana famantarana DL (SS) sy ny famantarana synchronization (SS) mba hamaritana.
Mpamorona: Yi Song (Plano) Mpiasa: BlackBerry Limited (Waterloo, Ontario, CA) Biraon'ny lalàna: Conley Rose, PC (birao tsy an-toerana 3) Laharan'ny fangatahana, daty, hafainganam-pandeha: 15988886, 2005/24/2018 (761 andro taloha) navoaka ny fampiharana)
Abstract: Ity lahatsoratra ity dia manoritsoritra rafitra misy singa tambajotra voalohany sy singa tambajotra faharoa.Ny singa tamba-jotra voalohany dia misy processeur iray namboarina mba hampifanaraka amin'ny singa tambajotra faharoa;ary miaraka amin'ny singa tambajotra faharoa.Ny singa tambajotra voalohany dia sela kely eNB, ary ny singa faharoa amin'ny tambajotra dia iray amin'ireto manaraka ireto: sela macro node B (eNB);na eNB sela kely.
Fizarana loharanon-karena matetika ampiasaina amin'ny fampitana famantarana fanaraha-maso sy famantarana angon-drakitra ao amin'ny rafitra fifandraisana SC-FDMA Patent No. 10694522
Mpamorona: Aris Papasakellariou (Dallas) Mpiasa: Samsung Electronics Co., Ltd (,, KR) Biraon'ny lalàna: Farrell Law Firm, PC (3 birao tsy eo an-toerana) Laharan'ny fangatahana, daty, hafainganam-pandeha: 16545530 tamin'ny 2019/08/20 ( Navoaka ny fangatahana 308 andro)
Abstract: Manome fomba sy tobim-piantsonana handraisana mari-pankasitrahana avy amin'ny tobin'ny toby amin'ny rafi-pifandraisana tsy misy tariby.Ny fomba dia ahitana ny fandefasana vaovao mifandraika amin'ny fandefasana famantarana famantarana kalitaon'ny fantsona (CQI) amin'ny bandwidth miasa;ary ho setrin'ny fandefasana mari-pamantarana angon-drakitra ambany, ny fandraisana famantarana fanamafisana mavitrika amin'ny fampiasana loharano matetika matetika, izay, mifototra amin'ny nalefa Ny sakana loharanon-karena (RB) natokana ho an'ny famantarana fanamafisana mavitrika dia voafaritra;ho setrin'ny fampitana ny mari-pamantarana angon-drakitra ambany, ny mari-pamantarana fanamafisana ara-potoana dia raisina amin'ny alàlan'ny fampiasana ny loharanon-karena faharoa, izay ny loharanon-karena matetika voalohany sy ny fizaran-taona Ny loharanon-karena faharoa matetika dia natokana ho an'ny loharanon-karena fahatelo natolotry ny famantarana CQI.
Mpamorona: Edward Lindsley (Bresson), Stefan E. De Nagy Koves Hrabar (Chapel Hill, AU) Mpiandraikitra: Sqwaq, Inc. (Dallas) Orinasa Mpahay lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 16218247 tamin'ny 12/12/2018 ( Apps navoaka nandritra ny 559 andro)
Abstract: Ny famoronana ankehitriny dia miitatra amin'ny fomba, rafitra, fitaovana, fitaovana ary vokatra fandaharana amin'ny ordinatera amin'ny fampitana angon-drakitra amin'ny alàlan'ny rohy mifandray.Ny rohim-pifandraisana mifamatotra dia mamatotra ny fahafahan'ny tsirairay amin'ireo rohim-pifandraisana maro hafa mba hamorona rohy mifandray amin'ny fanajana ny tsirairay amin'ireo rohin-pifandraisana maro hafa heverina ho misaraka Rohy fifandraisana amin'ny bandwidth avo kokoa.Ny kalitaon'ny rohy dia azo araha-maso ho an'ny tsirairay amin'ireo rohy mifandraika hafa.Ny karazana data samihafa dia azo omena laharam-pahamehana samihafa.Mifototra amin'ny kalitaon'ny rohy voara-maso sy ny laharam-pahamehana nomena, ny karazana data samihafa dia azo alefa amin'ny alàlan'ny rohy fifandraisana hafa.Rehefa midina ny kalitaon'ny rohin'ny fifandraisana dia hanampy amin'ny fandefasana fifantenana ny angon-drakitra laharam-pahamehana kokoa ny fandefasana karazana angona samihafa amin'ny alàlan'ny rohin'ny fifandraisana hafa.
Mpamorona: Amir Saghir (Frisco), Sudhakar Reddy Patil (Flower Mound) Mpiandraikitra: Verizon Patent and Licensing Inc. navoaka nandritra ny 557 andro)
Famintinana: Rafitra sy fomba handraisana fangatahana fifandraisana amin'ny radio resource connexion (RRC) avy amin'ny fitaovan'ny mpampiasa (UE) ao anatin'ny toe-javatra tsy mifandray/tsy miasa ao amin'ny tambazotran'ny radio (RAN);manendry mpitondra radio famantarana (SRB) ho an'ny UE Resource;mandefa hafatra UE voalohany amin'ny tambajotra fototra;mahazo fikandrana contexte voalohany avy amin'ny tambajotra fototra;manangana fivoriana RRC miaraka amin'ny UE amin'ny fanjakana mifandray RRC;mitahiry fampahalalana momba ny teny manodidina;rehefa ao amin'ny fanjakana mifandray amin'ny RRC ny UE, fantaro ny tsy fahavitrihana amin'ny fivoriana RRC;atsaharo Atombohy ny fivoriana RRC ary avadiho ny UE avy amin'ny fanjakana mifandray RRC mankany amin'ny fanjakana tsy mavitrika RRC mifototra amin'ny fanjakana tsy mavitrika;mamaritra ny toetry ny fitohanana/be loatra ao amin'ny RAN;mandray ny fangatahana fanarenana RRC avy amin'ny UE;ampiasao ny fampahafantarana momba ny teny manodidina voatahiry hamaritana raha omen'ny UE laharam-pahamehana ny fidirana amin'ny RAN ary raha hanova izany amin'ny fanjakana mifandray RRC.
Mpamorona: Jacob Mertel (Plano), John David Enright (Plano) Mpiasa: TMGCore, LLC (Plano) Biraon'ny lalàna: Hunton Andrews Kurth LLP (toerana tsy hita) Laharana fampiharana, daty, hafainganam-pandeha : 16576309 09/19/2019 (278 andro) ny famoahana ny fampiharana)
Abstract: Manoritsoritra rafitra fampangatsiahana asitrika ranon-javatra roa izay ahafahan'ny kojakoja informatika mamoaka hafanana ny fluide dielectric ao amin'ny dingan'ny ranon-javatra.Ny etona dielectric avy eo dia averina amin'ny dingan'ny rano ary ampiasaina mba hampangatsiaka ny singa solosaina.Ny fluid dielectric dia azo tehirizina ao amin'ny ampahany tank amin'ny rafitra fampangatsiahana.Ny rafitra fampangatsiahana dia mety ahitana ampahany amin'ny talantalana izay mahazaka sakana ballast iray farafahakeliny.Ny sakana ballast dia afaka manome fizarana fandroana lalina kokoa sy ny fikorianan'ny fluid dielectric avy amin'ny condenser.
[H05K] faritra vita pirinty;fefy elektrika na antsipiriany momba ny fanorenana;fanamboarana singa elektrika (antsipirihan'ny fitaovana tsy omena manokana ho an'ny G12B na antsipirihan'ny fitaovana hafa azo ampitahaina; sarimihetsika manify na sarimihetsika matevina H01L 27/01, H01L 27/13 ; fitaovana tsy fanontana printy board H01R ampiasaina amin'ny fifandraisana elektrika amin'ny na Eo anelanelan'ny; Raha mila fanazavana amin'ny antsipiriany momba ny trano na rafitra manokana amin'ny karazana fitaovana iray manokana, azafady jereo ny sokajy mifandraika amin'izany; tsy misy afa-tsy dingana amin'ny sehatra ara-teknika tokana (toy ny fanafanana, famafazana ) (Misy fitsipika mifandraika amin'ny toerana hafa, azafady jereo ireo sokajy mifandraika)
Mpamorona: Richard James List (Plano) Mpiasa: THE MODERN GENTLEMAN, INC. (Plano) Law Firm: Slater Matsil, LLP (local + 1 other metropolis) laharana fampiharana, daty, hafainganam-pandeha: 29691488, 05/16/2019 (404 andro) ny fampiharana navoaka)
Mpamorona: Levi Bilbrey (Fort Worth), Steven Loveland (Fort Worth) Mpiasa: Textron Innovations Inc. (Providence) Biraon'ny lalàna: Lightfoot Alford PLLC (birao tsy an-toerana 1) Laharan'ny fangatahana, Daty, hafainganam-pandeha: 29675523 tamin'ny 1 martsa 2019 (navoaka nandritra ny 537 andro ny fangatahana)
Mpamorona: Darwin Wayne Belt (Plano) Mpiasa: UNASSIGNED Law Firm: Caldwell Intellectual Property Law (2 birao tsy eo an-toerana) Laharana fampiharana, daty, hafainganam-pandeha: 10/10/2017 (fotoana fampiharana: 987 andro) nivoaka )
Mpamorona: Martha-Ann Fellman (Denton) Mpiasa: PACCAR INC (Bellevue, Washington) Biraon'ny lalàna: Seed IP Law Group LLP (birao 1 tsy eo an-toerana) Laharana fampiharana, daty, hafainganam-pandeha: 29664358, Septambra / 24/2018 (638 andro) ny famoahana ny fampiharana)
Mpamorona: Allen Brittain (Havoana Voninkazo), Paul Charles Griffiths (Roanoke), Steven Loveland (Fort Worth) Mpiandraikitra: Bell Helicopter Textron Inc. (Fort Worth) Biraon'ny lalàna: Lightfoot Alford PLLC (birao 1 eo an-toerana) laharana fampiharana, daty, hafainganam-pandeha : 29675586 tamin'ny 1 martsa 2019 (537 andro hamoahana ny fangatahana)
Mpamorona: Monique Liz Cot (Fort Worth) Mpiasa: Corning Research and Development Corporation (Corning, NY) Biraon'ny lalàna: Tsy misy laharana fangatahana mpisolovava, daty, hafainganam-pandeha: 29675162 amin'ny 28 Desambra 2018 (543 andro hanaovana fangatahana sy famoahana)
Mpamorona: Justin Harmon (Dallas) Mpiasa: Costa Del Mar, Inc. (Daytona Beach, Florida) Biraon'ny lalàna: Malloy Malloy, PL (birao tsy an-toerana 3) Laharan'ny fangatahana, daty, hafainganam-pandeha: 29615804 amin'ny 08/31/2017 ( Navoaka 1027 andro ny fampiharana)
Mpamorona: Huang Xiaohong (Ningbo, Shina), Jimmy Prito (Grand Prairie) Mpiasa: Alliance Sports Group, LP (Grand Prairie) Biraon'ny lalàna: Thorpe North Western LLP (birao 1 tsy eo an-toerana) Laharana fampiharana :., Daty, Haingana: 29660449 tamin'ny 08/21/2018 (672 andro famoahana ny fangatahana)
Mpamorona: Ashish Antony (Anna), Jordon Musser (Dallas) Mpiasa: FLEX LTD (Singapore, SG) Biraon'ny lalàna: Weber Rosselli Cannon LLP (toerana tsy hita) Laharan'ny fangatahana, daty, hafainganam-pandeha: 29616633 amin'ny 09/07/2017 (1020) - andro famoahana fampiharana)
Ny logo sy ny sary marika rehetra dia fananan'ny tompony tsirairay avy.Ny anaran'ny orinasa, vokatra ary serivisy rehetra ampiasaina amin'ity tranokala ity dia natao ho an'ny tanjona famantarana fotsiny.Ny marika famantarana voatonona ato amin'ity lahatsoratra ity dia fananan'ny tompony.
Raha tsy misy fanamarihana hafa amin'ny lohatenin'ny sary, ny sarin'ny endri-javatra dia ny foto-kevitry ny mpanakanto sy/na ny fahatsapana ara-javakanto ho an'ny fanoharana sy ny famoahan-dahatsoratra.Ny sary dia tsy maneho ny toe-javatra misy ankehitriny na ho avy, ary tsy maneho patanty manokana, raha tsy voalaza ao amin'ny famaritana sary sy/na crédit sary.
Ireto misy teny nalaina avy amin'ireo mpanavao Texas Avaratra izay manentana, manentana, manentana na mampihomehy antsika fotsiny.
Ny vondrom-piarahamonina mavitrika dia mila tontolo iainana misy olona, ​​orinasa ary mpiara-miombon'antoka tsy mitady tombony, indrindra amin'ny fotoan'ny krizy.Amin'izao fotoana izao, ny fikambanana tsy mitady tombony dia lohalaharana amin'ny famaliana ny fiovana haingana…
Isaky ny andro fiasana, ny Dallas Innovation Museum dia hitondra anao ny vaovao farany hianarana momba ireo toerana fizahan-tany ambony indrindra ao amin'ny faritra mety tsy ho hitanao.
Rehefa namely an'i Dallas Fort Worth ny COVID-19 tamin'ny volana martsa dia nanimba tanteraka ny indostrian'ny trano ara-barotra izany.Inona anefa no hitranga amin’ny taona ho avy?Inona ireo fanovana mifandraika amin'ny areti-mifindra mikendry ny…
Noho izany, mitady fifaninanana sy fifaninanana, lanonana mari-pankasitrahana, ary fanomezana azo alaina azon'ny mpamorona antsika.…
Miaraka amin'ny vaksiny COVID-19 nankatoavin'ny mpandrindra, ny indostrian'ny entana an'habakabaka dia miomana amin'ilay antsoina hoe "famokarana vokatra lehibe indrindra" teo amin'ny tantara.Tsy isalasalana fa volafotsy ny entana…
Nahazo Structure & Steel Products, Inc. (SSP) ny orinasa fampiasam-bola tsy miankina Houston Race Rock Group amin'ny vidiny tsy fantatra.
Tahaka ny orinasa maro manerana ny firenena, ny laboratoara diagnostika molekiola ao Dallas GeneIQ dia manolo-tena hamaly ny areti-mifindra COVID-19, ary io hetsika io dia nahatonga ity fivoarana lehibe ity.
Ireto misy teny nalaina avy amin'ireo mpanavao Texas Avaratra izay manentana, manentana, manentana na mampihomehy antsika fotsiny.
Ny vondrom-piarahamonina mavitrika dia mila tontolo iainana misy olona, ​​orinasa ary mpiara-miombon'antoka tsy mitady tombony, indrindra amin'ny fotoan'ny krizy.Amin'izao fotoana izao, ny fikambanana tsy mitady tombony dia lohalaharana amin'ny famaliana ny fiovana haingana…
Isaky ny andro fiasana, ny Dallas Innovation Museum dia hitondra anao ny vaovao farany hianarana momba ireo toerana fizahan-tany ambony indrindra ao amin'ny faritra mety tsy ho hitanao.
Rehefa namely an'i Dallas Fort Worth ny COVID-19 tamin'ny volana martsa dia nanimba tanteraka ny indostrian'ny trano ara-barotra izany.Inona anefa no hitranga amin’ny taona ho avy?Inona ireo fanovana mifandraika amin'ny areti-mifindra mikendry ny…
Noho izany, mitady fifaninanana sy fifaninanana, lanonana mari-pankasitrahana, ary fanomezana azo alaina azon'ny mpamorona antsika.…
Miaraka amin'ny vaksiny COVID-19 nankatoavin'ny mpandrindra, ny indostrian'ny entana an'habakabaka dia miomana amin'ilay antsoina hoe "famokarana vokatra lehibe indrindra" teo amin'ny tantara.Tsy isalasalana fa volafotsy ny entana…
Nahazo Structure & Steel Products, Inc. (SSP) ny orinasa fampiasam-bola tsy miankina Houston Race Rock Group amin'ny vidiny tsy fantatra.
Tahaka ny orinasa maro manerana ny firenena, ny laboratoara diagnostika molekiola ao Dallas GeneIQ dia manolo-tena hamaly ny areti-mifindra COVID-19, ary io hetsika io dia nahatonga ity fivoarana lehibe ity.
Dallas Innovates dia fiaraha-miasa eo amin'ny Chamber of Commerce Regional Dallas sy mpiara-miombon'antoka amin'ny gazetiboky D.Izy io dia sehatra vaovao an-tserasera izay mirakitra ny vaovao farany momba ny fanavaozana Dallas + Fort Worth.


Fotoana fandefasana: Dec-11-2020